Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Christopher J. Jezewski is active.

Publication


Featured researches published by Christopher J. Jezewski.


international interconnect technology conference | 2012

Demonstration of an electrically functional 34 nm metal pitch interconnect in ultralow-k ILD using spacer-based pitch quartering

M. van Veenhuizen; G. Allen; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Brian Krist; Hazel Lang; Alan Myers; R. Schenker; Kanwal Jit Singh; R. Turkot; Hui Jae Yoo

The patterning of a 34 nm metal pitch interconnect was realized using a spacer-based pitch quartering scheme. The pattern is transferred into an ultralow-k ILD using a process that avoids ILD buckling and structure collapse. Resulting features were metallized with copper, and electrically characterized. Measurement results show expected trends with drawn dimensions.


symposium on vlsi technology | 2014

Process technology scaling in an increasingly interconnect dominated world

James S. Clarke; Christopher B. George; Christopher J. Jezewski; Arantxa Maestre Caro; David J. Michalak; Jessica M. Torres

The RC delay and power restrictions imposed by the interconnect system can contribute to poor circuit performance in an increasingly severe manner as dimensions shrink. Resistances are increasing faster than the scale factor of the technology and capacitance improvements are constrained by mechanical requirements of the assembled stack. Collectively, these cause a bottleneck in both local and global information transfer on a chip. Novel deposition methods and novel conductor materials are being explored as means to increase conductive cross sectional area. Molecular ordering is an opportunity to simultaneously deliver capacitance and mechanical strength. Despite these improvement paths, a more holistic approach to interconnect design is needed, where the application and micro architecture are more tolerant of RC scaling constraints.


international interconnect technology conference | 2013

Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process

Jasmeet S. Chawla; Ramanan V. Chebiam; Rohan Akolkar; G. Allen; Colin T. Carver; James S. Clarke; Florian Gstrein; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Brian Krist; Hazel Lang; Alan Myers; R. Schenker; Kanwal Jit Singh; R. Turkot; Hui Jae Yoo

A process to achieve 12 nm half-pitch interconnect structures in ultralow-k interlayer dielectric (ILD) is realized using standard 193 nm lithography. An optimized pattern transfer that minimizes unwanted distortion of ILD features is followed by copper fill. Electrical measurements that validate functionality of the drawn structures are presented.


Nano Letters | 2015

Nanoscale Buckling of Ultrathin Low-k Dielectric Lines during Hard-Mask Patterning.

Gheorghe Stan; Cristian V. Ciobanu; Igor Levin; Hui J. Yoo; Alan Myers; Kanwal Jit Singh; Christopher J. Jezewski; Barbara Miner; Sean W. King

Commonly known in macroscale mechanics, buckling phenomena are now also encountered in the nanoscale world as revealed in todays cutting-edge fabrication of microelectronics. The description of nanoscale buckling requires precise dimensional and elastic moduli measurements, as well as a thorough understanding of the relationships between stresses in the system and the ensuing morphologies. Here, we analyze quantitatively the buckling mechanics of organosilicate fins that are capped with hard masks in the process of lithographic formation of deep interconnects. We propose an analytical model that quantitatively describes the morphologies of the buckled fins generated by residual stresses in the hard mask. Using measurements of mechanical properties and geometric characteristics, we have verified the predictions of the analytical model for structures with various degrees of buckling, thus putting forth a framework for guiding the design of future nanoscale interconnect architectures.


Proceedings of SPIE | 2014

Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects

Jasmeet S. Chawla; Kanwal Jit Singh; Alan Myers; D. J. Michalak; Richard Schenker; Christopher J. Jezewski; Brian Krist; Florian Gstrein; Tejaswi K. Indukuri; Hui Jae Yoo

Earlier [1, 2] work highlighted an integrated process for electrically functional 12 nm half-pitch copper interconnects in an ultralow-k interlayer dielectric (ILD). In this paper, we focus on understanding and reducing undesired effects such as pattern asymmetry/distortion, and line undulation/ collapse. Key defect modes and possible solution paths are discussed. Line undulation can occur when the ILD feature changes shape under the stress of the sacrificial hard mask(s) (HM) during patterning, resulting in “wavy” instead of straight features. The amount of undulation is directly related to mechanical properties such as elastic modulus, residual stresses of patterned HMs and the ILD, as well as the dimensions and aspect ratio of the features. Line collapse is observed post wet-clean processing when one or more of the following is true - Insufficient ILD mechanical strength, excessive pattern aspect ratio, or non-uniform drying. Pattern asymmetry, or unequal critical dimensions (CD) of trenches defined by the same backbone, is a typical problem encountered during spacer-based pitch division. In pitch quartering (P/4), three different trench widths result from small variations in backbone lithography, spacer CD and etch bias. Symmetric patterning can be achieved through rigorous control of patterning processes like backbone definition, spacer deposition and downstream etches. Plasma-based ash and energetic metal deposition were also observed to degrade patterning fidelity of ultra low-k film, and also need to be closely managed.


international interconnect technology conference | 2016

Resistance and electromigration performance of 6 nm wires

Jasmeet S. Chawla; Seung Hoon Sung; Stephanie A. Bojarski; Colin T. Carver; Manish Chandhok; Ramanan V. Chebiam; James S. Clarke; M. Harmes; Christopher J. Jezewski; M. J. Kobrinski; Brian Krist; Mona Mayeh; R. Turkot; Hui Jae Yoo

A process to achieve 6 nm minimum dimension interconnect wires is realized using standard 193 nm lithography. Various metals including copper are optimized to gap fill features, and tested for electrical performance and reliability. Measurements showing line electrical resistance and electromigration as functions of material, conducting area, and interfaces are presented.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2012

Cu film thermal stability on plasma cleaned polycrystalline Ru

Xin Liu; Chiyu Zhu; Brianna S. Eller; Tianyin Sun; Christopher J. Jezewski; S. W. King; R. J. Nemanich

The first part of this study examined oxide stability and cleaning of Ru surfaces. The surface reactions during H2 plasma exposure of Ru polycrystalline films were studied using x-ray photoelectron spectroscopy (XPS). The ∼2 monolayer native Ru oxide was reduced after H-plasma processing. However, absorbed oxygen, presumably in the grain boundaries, remains after processing. A vacuum thermal anneal at 150 °C substantially removes both surface oxide and absorbed oxygen which is attributed to a reduction by carbon contamination. The second part of the study examined the thermal stability of Cu on a Ru layer. The thermal stability or islanding of the Cu film on the Ru substrate was characterized by in situ XPS. After plasma cleaning of the Ru adhesion layer, the deposited Cu exhibited full coverage. In contrast, for Cu deposition on the Ru native oxide substrate, Cu islanding was detected and was described in terms of grain boundary grooving and surface and interface energies. The oxygen in the grain boundar...


international interconnect technology conference | 2014

Demonstration of a sidewall capacitor to evaluate dielectrics and metal barrier thin films

Kevin L. Lin; Colin T. Carver; Ramanan V. Chebiam; James S. Clarke; Jacob Faber; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Mauro J. Kobrinsky; Brian Krist; Narendra Lakamraju; Hazel Lang; Alan Myers; John J. Plombon; Kanwal Jit Singh; Hui Jae Yoo

A sidewall planar capacitor (SW CAP) vehicle is developed to closely simulate processing conditions for metal barrier and dielectric in an integrated structure. For a known tantalum barrier for copper on a low-K dielectric, SW CAP TDDB is similar to those measured on an integrated vehicle. SW CAP results are useful for comparing electrical reliability of different dielectric systems, and effective in determining physical continuity of copper metal barriers.


international interconnect technology conference | 2015

Demonstration of new planar capacitor (PCAP) vehicles to evaluate dielectrics and metal barrier thin films

Kevin L. Lin; J. Bielefeld; Jasmeet S. Chawla; Colin T. Carver; Ramanan V. Chebiam; James S. Clarke; Jacob Faber; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Rahim Kasim; Mauro J. Kobrinsky; Nafees A. Kabir; Brian Krist; Narendra Lakamraju; Hazel Lang; Ebony Mays; Alan Myers; John J. Plombon; Kanwal Jit Singh; Jessica M. Torres; Hui Jae Yoo

Planar capacitors can quickly test material properties of metals and dielectrics for interconnects. A sidewall capacitor device is used to evaluate metal thin-film barriers. Etch stop planar capacitors in turn can test multi-layer etch stops, exposing differences between leaky and good etch stop films. Fillable planar capacitors are also fabricated and results presented for that class of fill materials.


Archive | 2015

Cobalt based interconnects and methods of fabrication thereof

Christopher J. Jezewski; James S. Clarke; Tejaswi K. Indukuri; Florian Gstrein; Daniel J. Zierath

Collaboration


Dive into the Christopher J. Jezewski's collaboration.

Researchain Logo
Decentralizing Knowledge