Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jasmeet S. Chawla is active.

Publication


Featured researches published by Jasmeet S. Chawla.


Journal of Applied Crystallography | 2015

Determining the shape and periodicity of nanostructures using small‐angle X‐ray scattering

Daniel F. Sunday; Scott List; Jasmeet S. Chawla; R. Joseph Kline

The semiconductor industry is exploring new metrology techniques capable of meeting the future requirement to characterize three-dimensional structure where the critical dimensions are less than 10 nm. X-ray scattering techniques are one candidate owing to the sub-A wavelengths which are sensitive to internal changes in electron density. Critical-dimension small-angle X-ray scattering (CDSAXS) has been shown to be capable of determining the average shape of a line grating. Here it is used to study a set of line gratings patterned via a self-aligned multiple patterning process, which resulted in a set of mirrored lines, where the individual line shapes were asymmetric. The spacing between lines was systematically varied by sub-nm shifts. The model used to simulate the scattering was developed in stages of increasing complexity in order to justify the large number of parameters included. Comparisons between the models at different stages of development demonstrate that the measurement can determine differences in line shapes within the superlattice. The shape and spacing between lines within a given set were determined to sub-nm accuracy. This demonstrates the potential for CDSAXS as a high-resolution nanostructure metrology tool.


international interconnect technology conference | 2013

Demonstration of a 12 nm-half-pitch copper ultralow-k interconnect process

Jasmeet S. Chawla; Ramanan V. Chebiam; Rohan Akolkar; G. Allen; Colin T. Carver; James S. Clarke; Florian Gstrein; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Brian Krist; Hazel Lang; Alan Myers; R. Schenker; Kanwal Jit Singh; R. Turkot; Hui Jae Yoo

A process to achieve 12 nm half-pitch interconnect structures in ultralow-k interlayer dielectric (ILD) is realized using standard 193 nm lithography. An optimized pattern transfer that minimizes unwanted distortion of ILD features is followed by copper fill. Electrical measurements that validate functionality of the drawn structures are presented.


Proceedings of SPIE | 2014

10nm three-dimensional CD-SEM metrology

Andras Vladar; John S. Villarrubia; Jasmeet S. Chawla; Bin Ming; Joseph Kline; Scott List; Michael T. Postek

The shape and dimensions of a challenging pattern have been measured using a model-based library scanning electron microscope (MBL SEM) technique. The sample consisted of a 4-line repeating pattern. Lines were narrow (10 nm), asymmetric (different edge angles and significant rounding on one corner but not the other), and situated in a complex neighborhood, with neighboring lines as little as 10 nm or as much as 28 nm distant. The shape cross-section determined by this method was compared to transmission electron microscopy (TEM) and critical dimension small angle x-ray scattering (CD-SAXS) measurements of the same sample with good agreement. A recently-developed image composition method was used to obtain sharp SEM images, in which blur from vibration and drift were minimized. A Monte Carlo SEM simulator (JMONSEL) produced a model-based library that was interpolated to produce the best match to measured SEM images. Three geometrical and instrument parameterizations were tried. The first was a trapezoidal geometry. In the second one corner was significantly rounded. In the last, the electron beam was permitted to arrive with stray tilt. At each stage, the fit to the data improved by a statistically significant amount, demonstrating that the measurement remained sensitive to the new parameter. Because the measured values represent the average unit cell, the associated repeatabilities are at the tenths of a nanometer level, similar to scatterometry and other area-averaging techniques, but the SEM’s native high spatial resolution also permitted observation of defects and other local departures from the average.


Proceedings of SPIE | 2014

Patterning challenges in the fabrication of 12 nm half-pitch dual damascene copper ultra low-k interconnects

Jasmeet S. Chawla; Kanwal Jit Singh; Alan Myers; D. J. Michalak; Richard Schenker; Christopher J. Jezewski; Brian Krist; Florian Gstrein; Tejaswi K. Indukuri; Hui Jae Yoo

Earlier [1, 2] work highlighted an integrated process for electrically functional 12 nm half-pitch copper interconnects in an ultralow-k interlayer dielectric (ILD). In this paper, we focus on understanding and reducing undesired effects such as pattern asymmetry/distortion, and line undulation/ collapse. Key defect modes and possible solution paths are discussed. Line undulation can occur when the ILD feature changes shape under the stress of the sacrificial hard mask(s) (HM) during patterning, resulting in “wavy” instead of straight features. The amount of undulation is directly related to mechanical properties such as elastic modulus, residual stresses of patterned HMs and the ILD, as well as the dimensions and aspect ratio of the features. Line collapse is observed post wet-clean processing when one or more of the following is true - Insufficient ILD mechanical strength, excessive pattern aspect ratio, or non-uniform drying. Pattern asymmetry, or unequal critical dimensions (CD) of trenches defined by the same backbone, is a typical problem encountered during spacer-based pitch division. In pitch quartering (P/4), three different trench widths result from small variations in backbone lithography, spacer CD and etch bias. Symmetric patterning can be achieved through rigorous control of patterning processes like backbone definition, spacer deposition and downstream etches. Plasma-based ash and energetic metal deposition were also observed to degrade patterning fidelity of ultra low-k film, and also need to be closely managed.


Journal of Micro-nanolithography Mems and Moems | 2016

Evaluation of the effect of data quality on the profile uncertainty of critical dimension small angle x-ray scattering

Daniel F. Sunday; Scott List; Jasmeet S. Chawla; R. Joseph Kline

Abstract. A line grating prepared via a self-aligned quadruple patterning method was measured using critical dimension small angle x-ray scattering. A Monte Carlo Markov chain algorithm was used to analyze the uncertainty of the model fit over subsets of the full angular range and for a time series with decreasing signal-to-noise in order to determine the effect of the data quality on the final profile shape uncertainty. These results show how the total measurement time can be reduced while maintaining satisfactory profile shape uncertainty. We found that the typical measurement conditions are highly oversampled and can be reduced considerably with only marginal effect on the shape uncertainty. A comparison is made between the synchrotron measurements and a laboratory system, demonstrating that both measurements result in similar structures.


international interconnect technology conference | 2016

Resistance and electromigration performance of 6 nm wires

Jasmeet S. Chawla; Seung Hoon Sung; Stephanie A. Bojarski; Colin T. Carver; Manish Chandhok; Ramanan V. Chebiam; James S. Clarke; M. Harmes; Christopher J. Jezewski; M. J. Kobrinski; Brian Krist; Mona Mayeh; R. Turkot; Hui Jae Yoo

A process to achieve 6 nm minimum dimension interconnect wires is realized using standard 193 nm lithography. Various metals including copper are optimized to gap fill features, and tested for electrical performance and reliability. Measurements showing line electrical resistance and electromigration as functions of material, conducting area, and interfaces are presented.


international interconnect technology conference | 2015

Simple test vehicle for metal fill and resistance of sub-8nm nanowire

Seung Hoon Sung; Jasmeet S. Chawla; Colin T. Carver; Ramanan V. Chebiam; James S. Clarke; Chris Jezewski; Tristan A. Tronic; Bob Turkot; Hui Jae Yoo

Assessing metal gap fill capability and electrical behavior in patterned features ahead of full integration is valuable in interconnect process development as feature sizes scale beyond the 14 nm technology node. In this work a simple device is fabricated with existing silicon patterning recipes to achieve an electrical test vehicle that can test a range of metal candidates for interconnects. The vehicle is characterized using electron microscopy and electrical measurements.


international interconnect technology conference | 2015

Demonstration of new planar capacitor (PCAP) vehicles to evaluate dielectrics and metal barrier thin films

Kevin L. Lin; J. Bielefeld; Jasmeet S. Chawla; Colin T. Carver; Ramanan V. Chebiam; James S. Clarke; Jacob Faber; M. Harmes; Tejaswi K. Indukuri; Christopher J. Jezewski; Rahim Kasim; Mauro J. Kobrinsky; Nafees A. Kabir; Brian Krist; Narendra Lakamraju; Hazel Lang; Ebony Mays; Alan Myers; John J. Plombon; Kanwal Jit Singh; Jessica M. Torres; Hui Jae Yoo

Planar capacitors can quickly test material properties of metals and dielectrics for interconnects. A sidewall capacitor device is used to evaluate metal thin-film barriers. Etch stop planar capacitors in turn can test multi-layer etch stops, exposing differences between leaky and good etch stop films. Fillable planar capacitors are also fabricated and results presented for that class of fill materials.


international interconnect technology conference | 2015

Nickel silicide for interconnects

Kevin L. Lin; Stephanie A. Bojarski; Colin T. Carver; Manish Chandhok; Jasmeet S. Chawla; James S. Clarke; M. Harmes; Brian Krist; Hazel Lang; Mona Mayeh; Sudipto Naskar; John J. Plombon; Seung Hoon Sung; Hui Jae Yoo

Nickel silicide is an attractive option for interconnects at small dimensions because of its short electron mean free path and good electromigration behavior. Nickel silicide interconnects can be integrated using either a subtractive or damascene process. Precise control of final metal composition ratio is important for obtaining low resistivity, as shown in thin-film and patterned structure measurements.


Ultramicroscopy | 2015

Scanning electron microscope measurement of width and shape of 10 nm patterned lines using a JMONSEL-modeled library

John S. Villarrubia; Andras Vladar; Bin Ming; Regis J. Kline; Daniel F. Sunday; Jasmeet S. Chawla; Scott List

Collaboration


Dive into the Jasmeet S. Chawla's collaboration.

Researchain Logo
Decentralizing Knowledge