Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Christopher J. Penny is active.

Publication


Featured researches published by Christopher J. Penny.


international interconnect technology conference | 2010

CVD Co and its application to Cu damascene interconnections

Takeshi Nogami; J. Maniscalco; Anita Madan; Philip L. Flaitz; P. DeHaven; Christopher Parks; Leo Tai; B. St. Lawrence; R. Davis; Richard J. Murphy; Thomas M. Shaw; S. Cohen; C.-K. Hu; Cyril Cabral; Sunny Chiang; J. Kelly; M. Zaitz; J. Schmatz; S. Choi; Kazumichi Tsumura; Christopher J. Penny; H.-C. Chen; Donald F. Canaperi; Tuan Vo; F. Ito; Oscar van der Straten; Andrew H. Simon; S-H. Rhee; B-Y. Kim; T. Bolom

Fundamental material interactions as pertinent to nano-scale copper interconnects were studied for CVD Co with a variety of micro-analytical techniques. Native Co oxide grew rapidly within a few hours (XPS). Incorporation of oxygen and carbon in the CVD Co films (by AES and SIMS) depended on underlying materials, such as Ta, TaN, or Ru. Copper film texture (by XRD) and agglomeration resistance (by AFM) showed correlations with amounts of in-film oxygen/carbon. Cobalt diffused through copper at normal processing temperatures (by SIMS). CVD Co demonstrated diffusion barrier performance to Cu (by Triangular Voltage Sweep, TVS), but not to O2. CVD Co was applied to 32 nm/22 nm damascene Cu interconnect fabrication in a scheme defined by the material studies. Lower post-CMP defect density and longer electromigration lifetimes were obtained.


international electron devices meeting | 2010

High reliability 32 nm Cu/ULK BEOL based on PVD CuMn seed, and its extendibility

Takeshi Nogami; T. Bolom; A. Simon; B-Y. Kim; C.-K. Hu; K. Tsumura; Anita Madan; F. Baumann; Y. Wang; P. Flaitz; Christopher Parks; P. DeHaven; R. Davis; M. Zaitz; B. St. Lawrence; Richard J. Murphy; Leo Tai; S. Molis; S-H. Rhee; T. Usui; Cyril Cabral; J. Maniscalco; L. Clevenger; Baozhen Li; C. Christiansen; F. Chen; T. Lee; J. Schmatz; Hosadurga Shobha; F. Ito

A 32 nm BEOL with PVD CuMn seedlayer and conventional PVD-TaN/Ta liner was fully characterized by fundamental, integrated, and reliability methods. CuMn was confirmed to have fundamental advantages over CuAl, such as higher electromigration (EM) reliability for the same Cu line resistance (R). Both low R and high reliability (EM, SM, and TDDB) were achieved. Improved extendibility of CuMn relative to CuAl was also supported by studies of alloy interactions with advanced liner materials Ru and Co, and by enhancement of ultra-thin TaN barrier performance.


international electron devices meeting | 2012

Electromigration extendibility of Cu(Mn) alloy-seed interconnects, and understanding the fundamentals

Takeshi Nogami; Christopher J. Penny; Anita Madan; Christopher Parks; Jing Li; Philip L. Flaitz; Akira Uedono; Sunny Chiang; M. He; Andrew H. Simon; T. Bolom; T. Ryan; F. Ito; C. Christiansen; Leo Tai; C.-K. Hu; Hoon Kim; Xing Zhang; K. Tanwar; S. Choi; F. Baumann; R. Davis; J. Kelly; Richard J. Murphy; S. Molis; J. Rowland; P. DeHaven; Donald F. Canaperi; Terry A. Spooner; Daniel C. Edelstein

Cu(Mn) alloy seed BEOL studies revealed fundamental insights into Mn segregation and EM enhancement. We found a metallic-state Mn-rich Cu layer under the MnOx layer at the Cu/SiCNH cap interface, and correlated this metallic layer with additional EM enhancement. A carbonyl-based CVD-Co liner film consumed Mn, reducing its segregation and EM benefit, suggesting O-free Co liner films are strategic for Cu-alloy seed extendibility.


MRS Proceedings | 2010

Reducing Time Dependent Line to Line Leakage Following Post CMP Clean

Donald F. Canaperi; Satyavolu S. Papa Rao; Trace Hurd; Steven Medd; T. Levin; Christopher J. Penny; James Chen; Matthew Smalley

A systematic approach was taken to identify methods to prevent post CMP corrosion of copper in 22nm interconnect structures. Line to line current leakage measurements (at various times post CMP) were used as a means to quantify the extent and time-dependence of copper corrosion. Interruption of the corrosion mechanism by the use of passivating agents in post-CMP clean chemistries is explored. A broad-based screening was conducted to identify aqueous formulations of passivating agents for protection of copper which do not have deleterious effects on line resistance and overall defectivity. A formulation was identified which was effective in preventing corrosion when applied during post CMP brush clean.


international interconnect technology conference | 2013

CVD-Co/Cu(Mn) integration and reliability for 10 nm node

Takeshi Nogami; Ming He; Xunyuan Zhang; K. Tanwar; Raghuveer Patlolla; J. Kelly; David L. Rath; M. Krishnan; Xuan Lin; Oscar van der Straten; Hosadurga Shobha; Jing Li; Anita Madan; Philip L. Flaitz; Christopher Parks; C.-K. Hu; Christopher J. Penny; Andrew H. Simon; T. Bolom; J. Maniscalco; Donald F. Canaperi; Terry A. Spooner; Daniel C. Edelstein

In studying integrated dual damascene hardware at 10 nm node dimensions, we identified the mechanism for Co liner enhancement of Cu gap-fill to be a wetting improvement of the PVD Cu seed, rather than a local nucleation enhancement for Cu plating. We then show that Co “divot” (top-comer slit void defect) formation can be suppressed by a new wet chemistry, in turn eliminating divot-induced EM degradation. Further, we confirm a relative decrease in Cu-alloy seed proportional resistivity impact compared to scattering at scaled dimensions, and finally we address the incompatibility between the commonly-used carbonyl-based CVD-Co process with Cu-alloy seed EM performance This problem is due to oxidation of Ta(N) barriers at the TaN/CVD-Co interface by carbonyl-based CVD processes, which then consumes alloy atoms before they can segregate at the Cu/cap interface. We show that O-free CVD-Co may solve this problem. The above solutions may then enable CVD-Co/Cu-alloy seed integration in advanced nodes.


international electron devices meeting | 2015

Through-Cobalt Self Forming Barrier (tCoSFB) for Cu/ULK BEOL: A novel concept for advanced technology nodes

Takeshi Nogami; Benjamin D. Briggs; Sevim Korkmaz; Moosung M. Chae; Christopher J. Penny; Juntao Li; Wei Wang; Paul S. McLaughlin; Terence Kane; Christopher Parks; Anita Madan; S. Cohen; Thomas M. Shaw; Deepika Priyadarshini; Hosadurga Shobha; Son Van Nguyen; Raghuveer Patlolla; James Kelly; Xunyuan Zhang; Terry A. Spooner; Donald F. Canaperi; Theodorus E. Standaert; Elbert E. Huang; Vamsi Paruchuri; Daniel C. Edelstein

Through-Co self-forming-barrier (tCoSFB) metallization scheme is introduced, with Cu gap-fill capability down to 7 nm-node dimensions. Mn atoms from doped-seedlayer diffuse through CVD-Co wetting layer, to form TaMnxOy barrier, with integrity proven by vertical-trench triangular-voltage-sweep and barrier-oxidation tests. tCoSFB scheme enables 32% and 45% lower line and via resistance, respectively at 10 nm node dimensions, while achieving superior EM performance to competitive TaN/Co and TaN/Ru-based barriers.


international interconnect technology conference | 2014

Performance of ultrathin alternative diffusion barrier metals for next - Generation BEOL technologies, and their effects on reliability

Takeshi Nogami; M. Chae; Christopher J. Penny; Thomas M. Shaw; Hosadurga Shobha; Jing Li; S. Cohen; C.-K. Hu; Xunyuan Zhang; Ming He; K. Tanwar; Raghuveer Patlolla; S-T. Chen; J. Kelly; Xuan Lin; Oscar van der Straten; Andrew H. Simon; Koichi Motoyama; Griselda Bonilla; Elbert E. Huang; Terry A. Spooner; Daniel C. Edelstein

In order to maximize Cu volume and reduce via resistance, barrier thickness reduction is a strong option. Alternative barriers for next-generation BEOL were evaluated in terms of barrier performance to O2 and Cu diffusion, and effects on reliability. A clear correlation of O2 barrier performance to electromigration was observed, suggesting that the key role of the barrier layer is to prevent oxidation of Cu or the Cu/barrier interface. Long-throw PVD-TaN showed superior O2 barrier performance to alternative metals such as PEALD-TaN, thermal ALD-TaN, -TaN(Mn) and - MnN and MnSiO3 self-forming barrier.


Archive | 2014

Microstructure Evolution of Copper in Nanoscale Interconnect Features

James Kelly; Christopher Parks; J. Demarest; Juntao Li; Christopher J. Penny

The evolution of copper microstructure and incorporated impurities was studied using transmission electron microscopy (TEM), secondary ion mass spectroscopy (SIMS), and electrical resistance measurements for narrow (~28–40 nm) and wide Damascene features. Resistance measurements suggest an increasing degree of post-CMP microstructure evolution with anneal as linewidth falls below 100 nm for both “doped” and “pure” electrodeposited Cu. SIMS shows increased levels of incorporated sulfur and chlorine in narrow Cu lines whose concentration distributions appear unaffected by annealing at 350 °C, in contrast to redistribution observed in wider lines. Wide lines exhibit significant grain growth with a high temperature anneal, while little to no grain growth is evident upon anneal in narrow line longitudinal TEM sections. This post-anneal resistance drop and concomitant lack of recrystallization and grain growth in the narrow Cu lines is consistent with a microstructure recovery process, where defects in the Cu lattice are eliminated without appreciable formation and growth of new grains.


international interconnect technology conference | 2017

Reliable airgap BEOL technology in advanced 48 nm pitch copper/ULK interconnects for substantial power and performance benefits

Christopher J. Penny; Stephen M. Gates; Brown Peethala; Joe Lee; Deepika Priyadarshini; Son Van Nguyen; Paul S. McLaughlin; E. Liniger; C.-K. Hu; Lawrence A. Clevenger; Terence B. Hook; Hosadurga Shobha; Pranita Kerber; Indira Seshadri; James Chen; Daniel C. Edelstein; Roger A. Quon; Griselda Bonilla; Vamsi Paruchuri; Elbert E. Huang

This paper demonstrates the first reliable and low cost airgap BEOL technology, generated at extremely tight dimensions (48 nm pitch) in Cu/ULK. This provides 20% nested-line capacitance reduction relative to the ungapped Cu/ULK baseline. This result is of critical importance, as it validates that airgaps can be extended down to ultrafine wire levels, such as for the 10 nm technology node. Current technologies implement airgaps only at fat-wire levels; however, a significant enhancement in chip performance can be gained by including airgaps in the finest wiring levels as well. To achieve this, we benefitted from several elements which address various process, integration, and reliability challenges associated with airgap formation at such small dimensions. We present data and explanations of these solutions, and their impacts on yield, performance, defectivity and reliability (EM and TDDB).


advanced semiconductor manufacturing conference | 2012

Use of 22 nm Litho SEM non-visual defect data as a process quality indicator

Carol Boye; Christopher J. Penny; Joe Connors; Donna Boyles; Cezary Janicki; Rajesh Ghaskadvi; Roland Hahn

This paper proposes that the non-visual defect rate for Litho layers is an indicator of the quality of the process up to and including Litho. “Non-visual” (NV) defects are those detected by optical defect inspection systems but not re-detected by the SEM review tool. The defects are occurring either on or below the surfaces of the films deposited immediately prior to lithography, or buried within the actual lithographic films. Rather than ignore the non-visual data obtained during defect inspection post lithography, the NV rate can be used as a quality indicator to trigger immediate action for root cause determination. This paper presents a new strategy for responding to Litho SEM NV defects based on a detailed study of the origin of these defects.

Researchain Logo
Decentralizing Knowledge