Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Donald W. Plass is active.

Publication


Featured researches published by Donald W. Plass.


international solid-state circuits conference | 2007

Design of the Power6 Microprocessor

Joshua Friedrich; Bradley McCredie; Norman K. James; Bill Huott; Brian W. Curran; Eric Fluhr; Gaurav Mittal; Eddie K. Chan; Yuen H. Chan; Donald W. Plass; Sam Gat-Shang Chu; Hung Q. Le; Leo James Clark; John R. Ripley; Scott A. Taylor; Jack DiLullo; Mary Yvonne Lanzerotti

The POWER6trade microprocessor combines ultra-high frequency operation, aggressive power reduction, a highly scalable memory subsystem, and mainframe-like reliability, availability, and serviceability. The 341mm2 700M transistor dual-core microprocessor is fabricated in a 65nm SOI process with 10 levels of low-k copper interconnect. It operates at clock frequencies over 5GHz in high-performance applications, and consumes under 100W in power-sensitive applications.


symposium on vlsi circuits | 2007

6.6+ GHz Low Vmin, read and half select disturb-free 1.2 Mb SRAM

Rajiv V. Joshi; R. Houle; Kevin A. Batson; D. Rodko; Pradip Patel; William V. Huott; Robert L. Franch; Yuen H. Chan; Donald W. Plass; S. Wilson; P. Wang

A fully functional read and half select disturb-free 1.2 Mb SRAM is demonstrated. Measured results show an operating range of 0.4 V to 1.5 V and -25degC to 100degC, speed of 6.6+ GHz at IV, 25degC and yield of 90-100%.


international solid-state circuits conference | 2011

A 5.2GHz microprocessor chip for the IBM zEnterprise™ system

James D. Warnock; Yuen Chan; William V. Huott; Sean M. Carey; Michael Fee; Huajun Wen; M. J. Saccamango; Frank Malgioglio; Patrick J. Meaney; Donald W. Plass; Yuen H. Chan; Mark D. Mayo; Guenter Mayer; Leon J. Sigal; David L. Rude; Robert M. Averill; Michael H. Wood; Thomas Strach; Howard H. Smith; Brian W. Curran; Eric M. Schwarz; Lee Evan Eisen; Doug Malone; Steve Weitzel; Pak-Kin Mak; Thomas J. McPherson; Charles F. Webb

The microprocessor chip for the IBM zEnterprise 196 (z 196) system is a high-frequency, high-performance design that adds support for out-of-order instruction execution and increases operating frequency by almost 20% compared to the previous 65nm design, while still fitting within the same power envelope. Despite the many difficult engineering hurdles to be overcome, the design team was able to achieve a product frequency of 5.2GHz, providing a significant performance boost for the new system.


IEEE Journal of Solid-state Circuits | 2015

The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking

Eric Fluhr; Steve Baumgartner; David William Boerstler; John F. Bulzacchelli; Timothy Diemoz; Daniel M. Dreps; George English; Joshua Friedrich; Anne E. Gattiker; Tilman Gloekler; Christopher J. Gonzalez; Jason D. Hibbeler; Keith A. Jenkins; Yong Kim; Paul Muench; Ryan Nett; Jose Angel Paredes; Juergen Pille; Donald W. Plass; Phillip J. Restle; Raphael Robertazzi; David Shan; David W. Siljenberg; Michael A. Sperling; Kevin Stawiasz; Gregory Scott Still; Zeynep Toprak-Deniz; James D. Warnock; Glen A. Wiedemeier; Victor Zyuban

POWER8™ is a 12-core processor fabricated in IBMs 22 nm SOI technology with core and cache improvements driven by big data applications, providing 2.5× socket performance over POWER7+™. Core throughput is supported by 7.6 Tb/s of off-chip I/O bandwidth which is provided by three primary interfaces, including two new variants of Elastic Interface as well as embedded PCI Gen-3. Power efficiency is improved with several techniques. An on-chip controller based on an embedded PowerPC™ 405 processor applies per-core DVFS by adjusting DPLLs and fully integrated voltage regulators. Each voltage regulator is a highly distributed system of digitally controlled microregulators, which achieves a peak power efficiency of 90.5%. A wide frequency range resonant clock design is used in 13 clock meshes and demonstrates a minimum power savings of 4%. Power and delay efficiency is achieved through the use of pulsed-clock latches, which require statistical validation to ensure robust yield.


Ibm Journal of Research and Development | 2007

IBM POWER6 SRAM arrays

Donald W. Plass; Yuen H. Chan

The IBM POWER6™ microprocessor presented new challenges to array design because of its high-frequency requirement and its use of 65-nm silicon-on-insulator (SOI) technology. Advancements in performance (2X to 3X improvement over the 90-nm generation) and design margins (cell stability, writability, and redundancy coverage) were major focus areas. Key elements of the POWER6 processor chip arrays include paradigm shifts such as thin memory cell layout, large signal read (without a sense amplifier), segmented bitline structure, unclamped column-half-select scheme, multidimensional programmable timing control, and separate elevated static random access memory (SRAM) power supply. There are two main array categories on the POWER6 microprocessor chip: core and nest. Processor core arrays use a single-port, 0.75-µm2, six-transistor (6T) cell and operate at full frequency, whereas the surrounding nest arrays use a smaller 0.65-µm2 cell that operates at half or one-quarter of the core frequency in order to achieve better density and power efficiency. The core arrays include the 96-KB instruction cache (I-cache) and the 64-KB data cache (D-cache), with associate lookup-path SRAM macros. The I-cache is a four-way set-associative, single-port design, whereas the D-cache is an eight-way design with dual read ports to handle multithreading capability. The lookup-path arrays contain content-addressable memory (CAM) and RAM macros with integrated dynamic hit logic circuitry. In the nest portion, an 8-MB level 2 (L2) D-cache and a level 3 (L3) directory (1.2 MB) make up the largest arrays. The latter macro designs use longer bitlines and orthogonal word-decode layouts to achieve high array-area efficiency.


IEEE Journal of Solid-state Circuits | 2009

Design of Sub-90 nm Low-Power and Variation Tolerant PD/SOI SRAM Cell Based on Dynamic Stability Metrics

Rajiv V. Joshi; Saibal Mukhopadhyay; Donald W. Plass; Yuen H. Chan; Ching-Te Chuang; Yue Tan

In this paper we have studied the impacts of floating body effect, device leakage, and gate oxide tunneling leakage on the read and write-ability of a PD/SOI CMOS SRAM cell under Vt, L and W variations in sub-100 nm technology for the first time. The floating body effect is shown to degrade the read stability while improving the write-ability. On the other hand, the gate-to-body tunneling current improves the read stability while degrading the write-ability. It is also shown that the use of high-Vt and thick oxide cell transistors can improve leakage, read and write-ability without causing significant performance degradation. The test-chip is fabricated in sub-90 nm SOI technology to show the effectiveness of high-Vt and thick-oxide devices in improving stability of SRAM cells.


european solid-state device research conference | 2006

Statistical Exploration of the Dual Supply Voltage Space of a 65nm PD/SOI CMOS SRAM Cell

Rajiv V. Joshi; Rouwaida Kanj; Sani R. Nassif; Donald W. Plass; Yuen Chan; Ching-Te Chuang

This paper describes the application of a novel variability-driven statistical analysis methodology to study the stability/performance of SRAM designs in 65nm PD/SOI technology. Our objective is to explore the design-yield space for wordline and bitline voltage assignments in dual supply SRAM while taking into consideration the impact of random process variations. Two possible scenarios are studied: namely wordline connected to the SRAM cell power supply, and wordline connected to the logic power supply. To the best of our knowledge this is the first time a fully statistical analysis is performed, and results are in excellent agreement with hardware measurements


IEEE Journal of Solid-state Circuits | 2014

Circuit and Physical Design of the zEnterprise™ EC12 Microprocessor Chips and Multi-Chip Module

James D. Warnock; Yuen H. Chan; Hubert Harrer; Sean M. Carey; Gerard M. Salem; Doug Malone; Ruchir Puri; Jeffrey A. Zitz; Adam R. Jatkowski; Gerald Strevig; Ayan Datta; Anne E. Gattiker; Aditya Bansal; Guenter Mayer; Yiu-Hing Chan; Mark D. Mayo; David L. Rude; Leon J. Sigal; Thomas Strach; Howard H. Smith; Huajun Wen; Pak-Kin Mak; Chung-Lung Kevin Shum; Donald W. Plass; Charles F. Webb

This work describes the circuit and physical design implementation of the processor chip (CP), level-4 cache chip (SC), and the multi-chip module at the heart of the EC12 system. The chips were implemented in IBMs high-performance 32nm high-k/metal-gate SOI technology. The CP chip contains 6 super-scalar, out-of-order processor cores, running at 5.5 GHz, while the SC chip contains 192 MB of eDRAM cache. Six CP chips and two SC chips are mounted on a high-performance glass-ceramic substrate, which provides high-bandwidth, low-latency interconnections. Various aspects of the design are explored in detail, with most of the focus on the CP chip, including the circuit design implementation, clocking, thermal modeling, reliability, frequency tuning, and comparison to the previous design in 45nm technology.


international soi conference | 2006

A Low Power and High Performance SOI SRAM Circuit Design with Improved Cell Stability

Rajiv V. Joshi; Yuen H. Chan; Donald W. Plass; T. Charest; R. Freese; R. Sautter; William V. Huott; Uma Srinivasan; D. Rodko; Pradip Patel; P. Shephard; Tobias Werner

An embedded CMOS static random access memory (SRAM), including the array and a method of accessing cells in the array with improved cell stability for scalability and performance (over 5 GHz) is demonstrated in hardware using 65 nm partially depleted silicon on insulator (PD SOI) technology. The design features shorter bitlines (16 cells/bitline) along with a thin cell layout and programmable domino read operation. Bit lines connected to half selected cells in the array are floated during cell accesses for improved cell stability. In addition, the SRAM is supplied with multiple supplies: one to the cells, wordline drivers, and level shifters, and the other to the bitline and remaining logic to improve stability and lower power


symposium on vlsi circuits | 2008

A high performance 2.4 Mb L1 and L2 cache compatible 45nm SRAM with yield improvement capabilities

Rajiv V. Joshi; R. Houle; D. Rodko; P. Patel; William V. Huott; Robert L. Franch; Yuen H. Chan; Donald W. Plass; S. Wilson; S. Wu; Rouwaida Kanj

A hardware based, fully functional, stable 2.4 Mb L1 and L2 Cache compatible 6T embedded SRAM is demonstrated. Measured results show an operating range of -40degC to 120degC, speed of 6.5 GHz and 3.8 GHz for L1-Cache cells and L2-Cache cells, respectively, at 1 V and 25degC, with high yield. The key features include multi-setting programmable clock block, separate read/write margin circuitry, low noise dynamic decoders, bit select circuitry supported by newly developed fast Monte Carlo technique useful for improved cell stability, writeability, and enhanced yield. A novel Burst Mode feature allows defect analysis at high frequency while using slow tester speeds.

Researchain Logo
Decentralizing Knowledge