Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hirohisa Kawasaki is active.

Publication


Featured researches published by Hirohisa Kawasaki.


international electron devices meeting | 2005

Process integration technology and device characteristics of CMOS FinFET on bulk silicon substrate with sub-10 nm fin width and 20 nm gate length

K. Okano; Takashi Izumida; Hirohisa Kawasaki; Akio Kaneko; Atsushi Yagishita; T. Kanemura; Masaki Kondo; S. Ito; Nobutoshi Aoki; Kiyotaka Miyano; K. Yahashi; K. Iwade; T. Kubota; T. Matsushita; Ichiro Mizushima; Satoshi Inaba; K. Ishimaru; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima; H. Ishiuchi

The process integration schemes for CMOS FinFET fabricated on bulk Si substrate are discussed from the viewpoints of device size scalability and short channel effect control. The trimming technique by special oxidation was applied to reduce fin width down to sub-10 nm regime. A novel punch through stopper (PTS) formation process was introduced to the bottom of the channel region to scale the gate length down to 20 nm. The combination of both process technology enables us to fabricate the smallest FinFET on bulk Si substrate reported to date


international electron devices meeting | 2009

Challenges and solutions of FinFET integration in an SRAM cell and a logic circuit for 22 nm node and beyond

Hirohisa Kawasaki; Veeraraghavan S. Basker; Tenko Yamashita; Chung Hsun Lin; Yu Zhu; J. Faltermeier; Stefan Schmitz; J. Cummings; Sivananda K. Kanakasabapathy; H. Adhikari; Hemanth Jagannathan; Arvind Kumar; K. Maitra; Junli Wang; Chun-Chen Yeh; Chao Wang; Marwan H. Khater; M. Guillorn; Nicholas C. M. Fuller; Josephine B. Chang; Leland Chang; R. Muralidhar; Atsushi Yagishita; R. Miller; Q. Ouyang; Y. Zhang; Vamsi Paruchuri; Huiming Bu; Bruce B. Doris; Mariko Takayanagi

FinFET integration challenges and solutions are discussed for the 22 nm node and beyond. Fin dimension scaling is presented and the importance of the sidewall image transfer (SIT) technique is addressed. Diamond-shaped epi growth for the raised source-drain (RSD) is proposed to improve parasitic resistance (Rpara) degraded by 3-D structure with thin Si-body. The issue of Vt -mismatch is discussed for continuous FinFET SRAM cell-size scaling.


international electron devices meeting | 2006

High-Performance FinFET with Dopant-Segregated Schottky Source/Drain

Akio Kaneko; Atsushi Yagishita; K. Yahashi; T. Kubota; M. Omura; K. Matsuo; Ichiro Mizushima; K. Okano; Hirohisa Kawasaki; Takashi Izumida; T. Kanemura; Nobutoshi Aoki; Atsuhiro Kinoshita; Junji Koga; Satoshi Inaba; K. Ishimaru; Y. Toyoshima; H. Ishiuchi; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima

High-performance CMOS-FinFET with dopant-segregated Schottky source/drain (DS-Schottky S/D) technology has been demonstrated. Thanks to the low parasitic resistance in DS-Schottky S/D, high drive current of 960 muA/mum was achieved for nFET with Lg = 15 nm and Wfin =15 nm at Vd= 1.0 V and Ioff= 100 nA/mum. Furthermore, the propagation delay time has been successfully improved down to less than 5 ps in the ring oscillator with DS-Schottky S/D CMOS-FinFET with 15 nm gate length


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


international electron devices meeting | 2008

Demonstration of highly scaled FinFET SRAM cells with high-κ/metal gate and investigation of characteristic variability for the 32 nm node and beyond

Hirohisa Kawasaki; M. Khater; M. Guillorn; N. Fuller; J. Chang; S. Kanakasabapathy; L. Chang; R. Muralidhar; K. Babich; Q. Yang; J. Ott; D. Klaus; E. Kratschmer; E. Sikorski; R. Miller; R. Viswanathan; Y. Zhang; J. Silverman; Q. Ouyang; Atsushi Yagishita; Mariko Takayanagi; W. Haensch; K. Ishimaru

Highly scaled FinFET SRAM cells, of area down to 0.128 m2, were fabricated using high-kappa dielectric and a single metal gate to demonstrate cell size scalability and to investigate Vt variability for the 32 nm node and beyond. A single-sided ion implantation (I/I) scheme was proposed to reduce Vt variation of Fin-FETs in a SRAM cell, where resist shadowing is a great issue. In the 0.187 m2 cell, at Vd = 0.6 V, a static noise margin (SNM) of 95 mV was obtained and stable read/write operations were verified from N-curve measurements. sigmaVt of transistors in 0.187 m2 cells was measured with and without channel doping and the result was summarized in the Pelgrom plot. With the 22 nm node design rule, FinFET SRAM cell layouts were compared against planar-FET SRAM cell layouts. An un-doped FinFET SRAM cell was simulated to have significant advantage in read/write margin over a planar-FET SRAM cell, which would have higher sigmaVt mainly caused by heavy doping into the channel region.


symposium on vlsi technology | 2008

FinFET performance advantage at 22nm: An AC perspective

Michael A. Guillorn; Josephine B. Chang; Andres Bryant; Nicholas C. M. Fuller; Omer H. Dokumaci; X. Wang; J. Newbury; K. Babich; John A. Ott; B. Haran; Roy Yu; Christian Lavoie; David P. Klaus; Yuan Zhang; E. Sikorski; W. Graham; B. To; M. Lofaro; J. Tornello; Dinesh Koli; B. Yang; A. Pyzyna; D. Neumeyer; M. Khater; Atsushi Yagishita; Hirohisa Kawasaki; Wilfried Haensch

At the 22 nm node, we estimate that superior electrostatics and reduced junction capacitance in FinFETs may provide a 13~23% reduction in delay relative to planar FETs. However, this benefit is offset by enhanced gate-to-source/drain capacitance (Cgs) in FinFETs. Here, we measure FinFET Cgs capacitance at 22 nm-like dimensions and determine that, with optimization, the FinFET capacitance penalty can be limited to <6%, resulting in an overall advantage of up to 17% over a planar technology.


symposium on vlsi technology | 2006

Embedded Bulk FinFET SRAM Cell Technology with Planar FET Peripheral Circuit for hp32 nm Node and Beyond

Hirohisa Kawasaki; K. Okano; Akio Kaneko; Atsushi Yagishita; Takashi Izumida; T. Kanemura; K. Kasai; T. Ishida; T. Sasaki; Y. Takeyama; Nobutoshi Aoki; N. Ohtsuka; Kyoichi Suguro; K. Eguchi; Yoshitaka Tsunashima; Satoshi Inaba; K. Ishimaru; H. Ishiuchi

Integration schemes of bulk FinFET SRAM cell with bulk planar FET peripheral circuit are studied for the first time. Two types of SRAM cells with different beta-ratio were fabricated and investigated in the view of static noise margin (SNM). High SNM of 122 mV is obtained in the cell with 15 nm fin width, 90 nm channel height and 20 nm gate length at Vdd = 0.6 V. This is the smallest gate length FinFET SRAM reported to date. A higher beta ratio (beta> 2.0) in FinFET SRAM cell will be also achieved by tuning the effective channel width of each FinFETs without area penalty by taking advantage of bulk-Si substrate


international electron devices meeting | 2005

Sidewall transfer process and selective gate sidewall spacer formation technology for sub-15nm finfet with elevated source/drain extension

Akio Kaneko; Atsushi Yagishita; K. Yahashi; T. Kubota; M. Omura; Kouji Matsuo; Ichiro Mizushima; K. Okano; Hirohisa Kawasaki; Satoshi Inaba; Takashi Izumida; T. Kanemura; Nobutoshi Aoki; K. Ishimaru; H. Ishiuchi; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima

We present the FinFET process integration technology including improved sidewall transfer (SWT) process applicable to both fins and gates. Using this process, the uniform electrical characteristics of the ultra-small FinFETs of 15nm gate length and 10 nm fin width have been demonstrated. A new process technique for the selective gate sidewall spacer formation (spacer formation only on the gate sidewall, no spacer on the fin sidewall) is also demonstrated for realizing low-resistance elevated source/drain (S/D) extension


international electron devices meeting | 2004

Impact of parasitic resistance and silicon layer thickness scaling for strained-silicon MOSFETs on relaxed Si/sub 1-x/Ge/sub x/ virtual substrate

Hirohisa Kawasaki; Kazuya Ohuchi; A. Oishi; O. Fujii; H. Tsujii; T. Ishida; K. Kasai; Y. Okayama; K. Kojima; K. Adachi; Nobutoshi Aoki; T. Kanemura; D. Hagishima; M. Fujiwara; Satoshi Inaba; K. Ishimaru; N. Nagashima; H. Ishiuchi

This paper discusses the root causes of the fact that only slight performance improvement of MOSFET with strained-Si substrate has been achieved in short channel region (L < 100 nm). The performance improvement in short channel region is found to deteriorate mainly due to the parasitic resistance increase and tensile stress relaxation in the strained-Si layer. In regard to the parasitic resistance and the stress relaxation in small device geometry, the scaling impacts of strained-Si layer thickness (T/sub ss/) are investigated from the viewpoint of both DC and AC characteristics. Within this work, T/sub ss/ reduction down to 5 nm improves the current drive (I/sub d/) of nFET up to 6 % (L < 50 nm) compared with conventional bulk nFET. Propagation delay time (/spl tau//sub pd/) improvement in CMOS inverter is also observed to be more than 15 %. Finally, the impurity profile optimization is proposed to improve MOSFET performance toward the 45 nm node CMOS era.


Proceedings of SPIE | 2010

EUV lithography at the 22nm technology node

Obert Wood; Chiew-seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John C. Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzo Okoroanyanwu; Tom Wallow; Guillaume Landie; Theodorus E. Standaert; Sean D. Burns; Christopher J. Waskiewicz; Hirohisa Kawasaki; James Chen; Matthew E. Colburn; Bala Haran; Susan S.-C. Fan; Yunpeng Yin; Christian Holfeld; Jens Techel; Jan-Hendrik Peters; Sander Bouten; Brian Lee; Bill Pierson; Bart Kessels

We are evaluating the readiness of extreme ultraviolet (EUV) lithography for insertion into production at the 15 nm technology node by integrating it into standard semiconductor process flows because we believe that device integration exercises provide the truest test of technology readiness and, at the same time, highlight the remaining critical issues. In this paper, we describe the use of EUV lithography with the 0.25 NA Alpha Demo Tool (ADT) to pattern the contact and first interconnect levels of a large (~24 mm x 32 mm) 22 nm node test chip using EUV masks with state-of-the-art defectivity (~0.3 defects/cm2). We have found that: 1) the quality of EUVL printing at the 22 nm node is considerably higher than the printing produced with 193 nm immersion lithography; 2) printing at the 22 nm node with EUV lithography results in higher yield than double exposure double-etch 193i lithography; and 3) EUV lithography with the 0.25 NA ADT is capable of supporting some early device development work at the 15 nm technology node.

Collaboration


Dive into the Hirohisa Kawasaki's collaboration.

Researchain Logo
Decentralizing Knowledge