Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where K. Okano is active.

Publication


Featured researches published by K. Okano.


international electron devices meeting | 2005

Process integration technology and device characteristics of CMOS FinFET on bulk silicon substrate with sub-10 nm fin width and 20 nm gate length

K. Okano; Takashi Izumida; Hirohisa Kawasaki; Akio Kaneko; Atsushi Yagishita; T. Kanemura; Masaki Kondo; S. Ito; Nobutoshi Aoki; Kiyotaka Miyano; K. Yahashi; K. Iwade; T. Kubota; T. Matsushita; Ichiro Mizushima; Satoshi Inaba; K. Ishimaru; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima; H. Ishiuchi

The process integration schemes for CMOS FinFET fabricated on bulk Si substrate are discussed from the viewpoints of device size scalability and short channel effect control. The trimming technique by special oxidation was applied to reduce fin width down to sub-10 nm regime. A novel punch through stopper (PTS) formation process was introduced to the bottom of the channel region to scale the gate length down to 20 nm. The combination of both process technology enables us to fabricate the smallest FinFET on bulk Si substrate reported to date


international electron devices meeting | 2006

High-Performance FinFET with Dopant-Segregated Schottky Source/Drain

Akio Kaneko; Atsushi Yagishita; K. Yahashi; T. Kubota; M. Omura; K. Matsuo; Ichiro Mizushima; K. Okano; Hirohisa Kawasaki; Takashi Izumida; T. Kanemura; Nobutoshi Aoki; Atsuhiro Kinoshita; Junji Koga; Satoshi Inaba; K. Ishimaru; Y. Toyoshima; H. Ishiuchi; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima

High-performance CMOS-FinFET with dopant-segregated Schottky source/drain (DS-Schottky S/D) technology has been demonstrated. Thanks to the low parasitic resistance in DS-Schottky S/D, high drive current of 960 muA/mum was achieved for nFET with Lg = 15 nm and Wfin =15 nm at Vd= 1.0 V and Ioff= 100 nA/mum. Furthermore, the propagation delay time has been successfully improved down to less than 5 ps in the ring oscillator with DS-Schottky S/D CMOS-FinFET with 15 nm gate length


international electron devices meeting | 2001

High performance 35 nm gate length CMOS with NO oxynitride gate dielectric and Ni salicide

Satoshi Inaba; K. Okano; Satoshi Matsuda; M. Fujiwara; Akira Hokazono; K. Adachi; Kazuya Ohuchi; H. Suto; H. Fukui; T. Shimizu; S. Mori; H. Oguma; A. Murakoshi; T. Itani; T. Iinuma; T. Kudo; H. Shibata; S. Taniguchi; T. Matsushita; S. Magoshi; Y. Watanabe; Mariko Takayanagi; A. Azuma; H. Oyamatsu; Kyoichi Suguro; Y. Katsumata; Y. Toyoshima; H. Ishiuchi

35 nm gate length CMOS devices with oxynitride gate dielectric and Ni SALICIDE have been fabricated to study the feasibility of achieving high performance with gate length scaling. The nitrogen profile in the gate oxynitride was optimized to reduce gate current and to prevent boron penetration in the pFET. The thermal budget in MOL & BEOL processes was reduced to realize shallower junction depth in the S/D extension region and to suppress gate poly-Si depletion. Finally, current drives of 676 /spl mu/A//spl mu/m in nFET and 272 /spl mu/A//spl mu/m in pFET at V/sub dd/ = 0.85 V (I/sub off/ = 100 nA//spl mu/m) were achieved, which are the best values in 35 nm gate length CMOS reported to date.


symposium on vlsi technology | 2006

Embedded Bulk FinFET SRAM Cell Technology with Planar FET Peripheral Circuit for hp32 nm Node and Beyond

Hirohisa Kawasaki; K. Okano; Akio Kaneko; Atsushi Yagishita; Takashi Izumida; T. Kanemura; K. Kasai; T. Ishida; T. Sasaki; Y. Takeyama; Nobutoshi Aoki; N. Ohtsuka; Kyoichi Suguro; K. Eguchi; Yoshitaka Tsunashima; Satoshi Inaba; K. Ishimaru; H. Ishiuchi

Integration schemes of bulk FinFET SRAM cell with bulk planar FET peripheral circuit are studied for the first time. Two types of SRAM cells with different beta-ratio were fabricated and investigated in the view of static noise margin (SNM). High SNM of 122 mV is obtained in the cell with 15 nm fin width, 90 nm channel height and 20 nm gate length at Vdd = 0.6 V. This is the smallest gate length FinFET SRAM reported to date. A higher beta ratio (beta> 2.0) in FinFET SRAM cell will be also achieved by tuning the effective channel width of each FinFETs without area penalty by taking advantage of bulk-Si substrate


international electron devices meeting | 2005

Sidewall transfer process and selective gate sidewall spacer formation technology for sub-15nm finfet with elevated source/drain extension

Akio Kaneko; Atsushi Yagishita; K. Yahashi; T. Kubota; M. Omura; Kouji Matsuo; Ichiro Mizushima; K. Okano; Hirohisa Kawasaki; Satoshi Inaba; Takashi Izumida; T. Kanemura; Nobutoshi Aoki; K. Ishimaru; H. Ishiuchi; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima

We present the FinFET process integration technology including improved sidewall transfer (SWT) process applicable to both fins and gates. Using this process, the uniform electrical characteristics of the ultra-small FinFETs of 15nm gate length and 10 nm fin width have been demonstrated. A new process technique for the selective gate sidewall spacer formation (spacer formation only on the gate sidewall, no spacer on the fin sidewall) is also demonstrated for realizing low-resistance elevated source/drain (S/D) extension


international electron devices meeting | 2002

65 nm CMOS technology (CMOS5) with high density embedded memories for broadband microprocessor applications

N. Yanagiya; Satoshi Matsuda; Satoshi Inaba; Mariko Takayanagi; Ichiro Mizushima; Kazuya Ohuchi; K. Okano; K. Takahasi; E. Morifuji; M. Kanda; Y. Matsubara; M. Habu; M. Nishigoori; K. Honda; H. Tsuno; K. Yasumoto; T. Yamamoto; K. Hiyama; K. Kokubun; T. Suzuki; J. Yoshikawa; Takayasu Sakurai; T. Ishizuka; Y. Shoda; M. Moriuchi; M. Kishida; H. Matsumori; H. Harakawa; Hisato Oyamatsu; N. Nagashima

In this paper, we present a 65 nm CMOS technology for high performance SoC (system-on-chip), especially for broadband core chip applications. Logic gate length is scaled down to 30 nm, and embedded SRAM cell size is shrunk to 0.6 /spl mu/m/sup 2/. Embedded DRAM cell size is 0.11 /spl mu/m/sup 2/. MOSFETs in this technology have high nitrogen concentration plasma nitrided oxide gate dielectrics to suppress gate leakage current. Furthermore poly-SiGe gate electrode and Ni Salicide were adopted to control high gate electrode activation and USJ (ultra shallow junctions) under low thermal budget. Hi-NA193-nm lithography with alternating phase shift mask and the slimming process combined with non-slimming trim mask process were employed to achieve a small SRAM cell. Cu interconnects; using low-k dielectrics has an 180 nm pitch.


symposium on vlsi technology | 2008

Three-dimensional stress engineering in FinFETs for mobility/on-current enhancement and gate current reduction

Masumi Saitoh; Akio Kaneko; K. Okano; Tomoko Kinoshita; Satoshi Inaba; Y. Toyoshima; Ken Uchida

In this paper, the first systematic study of uniaxial stress effects on mobility (mu)/on-current (Ion) enhancement and gate current (Ig) reduction in FinFETs is described. We demonstrate for the first time that Ig of (110) side-surface pFinFETs is largely reduced by longitudinal compressive stress due to out-of-plane mass increase. (110) n/pFinFETs are superior to (100) FinFETs in terms of higher mu/Ion enhancement ratio by longitudinal strain and comparable/higher short-channel Idsat. Three-dimensional stress design in FinFETs including transverse and vertical stresses is proposed based on the understanding of stress effects beyond bulk piezoresistance.


international electron devices meeting | 2007

Direct evaluation of DC characteristic variability in FinFET SRAM Cell for 32 nm node and beyond

Satoshi Inaba; Hirohisa Kawasaki; K. Okano; Takashi Izumida; Atsushi Yagishita; Akio Kaneko; K. Ishimaru; Nobutoshi Aoki; Y. Toyoshima

Vt variability in FinFET SRAM is evaluated for the first time by direct measurement of the cell transistors down to 25 nm gate length. By taking the V, mismatch between Pull-Down transistors (PD) or between PD & Pass Gate transistor (PG), the dependence of V, variability on the cell transistor layout and channel impurity concentration was clearly observed. Read / Write margins in FinFET SRAM cell are also investigated by measuring both N-curves and their variability. The results suggest that FinFET is still a promising candidate for SRAM applications even in 32 nm node and beyond, if the appropriate cell design is applied.


international conference on simulation of semiconductor processes and devices | 2006

Improvement of Drive Current in Bulk-FinFET using Full 3D Process/Device Simulations

Takahisa Kanemura; Takashi Izumida; Nobutoshi Aoki; Masaki Kondo; Sanae Ito; Toshiyuki Enda; K. Okano; Hirohisa Kawasaki; A. Yagishita; A. Kaneko; Satoshi Inaba; M. Nakamura; K. Ishimaru; K. Suguro; K. Eguchi; H. Ishiuchi

We discussed the optimization of structure and doping profile of bulk-FinFETs by using 3D process and device simulations. The channel profile was determined so as to realize higher drive current as well as lower punch-through current. The analysis of stress field for bulk-FinFETs and SOI-FinFETs revealed that the channel stress induced by a stress liner (SL) in the bulk-FinFET is larger than that for the SOI-FinFET. In addition, we applied a raised source/drain (RSD) structure to the bulk-FinFETs and optimized doping profile in the RSD region. The combination of stress liner and RSD structure is found to be efficient for improving drive current of a bulk-FinFET


european solid-state circuits conference | 2006

FinFET: the prospective multi-gate device for future SoC applications

Satoshi Inaba; K. Okano; Takashi Izumida; Akio Kaneko; Hirohisa Kawasaki; Atsushi Yagishita; T. Kanemura; T. Ishida; Nobutoshi Aoki; K. Ishimaru; Kyoichi Suguro; Kazuhiro Eguchi; Yoshitaka Tsunashima; Y. Toyoshima; H. Ishiuchi

This paper discusses the possibility of future large scale integration (LSI) of multi-gate device. FinFET is thought to he the most promising multi-gate device for LSI, because it easily realizes the self-aligned double-gate structure. At first, the feasibility of SRAM operation with FinFET in hp22 nm node is studied by simulation in terms of Vt fluctuation control. Next, it is demonstrated that FinFET on bulk Si substrate (bulk-FinFET) is a suitable candidate for cost-effective LSI manufacturing. The integration schemes of FinFET and planar FET on the same substrate are also developed for the fabrication of 128 Kbit SRAM ADM (array diagnostic monitor). Finally, successful SRAM cell operation is demonstrated with FinFET of Lg = 20 nm. Therefore, FinFET integrated circuit can provide a unique solution for future low-power SoC

Collaboration


Dive into the K. Okano's collaboration.

Researchain Logo
Decentralizing Knowledge