Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Katsuhiko Hoya is active.

Publication


Featured researches published by Katsuhiko Hoya.


international solid-state circuits conference | 2009

A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes

Hidehiro Shiga; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; Tadashi Miyakawa; Ryu Ogiwara; Ryo Fukuda; Ryosuke Takizawa; Kosuke Hatsuda; F. Matsuoka; Yasushi Nagadomi; Daisuke Hashimoto; Hisaaki Nishimura; Takeshi Hioka; Sumiko Doumae; Shoichi Shimizu; Mitsumo Kawano; Toyoki Taguchi; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Yoshinori Kumura; Yoshiro Shimojo; Yuki Yamada; Yoshihiro Minami; Susumu Shuto; Koji Yamakawa; Souichi Yamazaki; Iwao Kunishima

An 87.7 mm2 1.6 GB/s 128 Mb chain FeRAM with 130 nm 4-metal CMOS process is demonstrated. In addition to small bitline capacitance inherent to chain FeRAM architecture, three new FeRAM scaling techniques - octal bitline architecture, small parasitic capacitance sensing scheme, and dual metal plateline scheme - reduce bitline capacitance from 100 fF to 60 fF. As a result, a cell signal of ±220 mV is achieved even with the small cell size of 0.252 ¿m2. An 800 Mb/s/pin read/write bandwidth at 400 MHz clock is realized by installing SDRAM compatible DDR2 interface, and performance is verified by simulation. The internal power-line bounce noise due to 400 MHz clock operation is suppressed to less than 50 mV by an event-driven current driver, which supplies several hundreds of mA of current within 2 ns response. The precise timing and voltage controls are achieved by using the data stored in a compact FeRAM-fuse, which consists of extra FeRAM memory cells placed in edge of normal array instead of conventional laser fuse links. This configuration minimizes area penalty to 0.2% without cell signal degradation.


international solid-state circuits conference | 2006

A 64Mb Chain FeRAM with Quad-BL Architecture and 200MB/s Burst Mode

Katsuhiko Hoya; Daisaburo Takashima; Shinichiro Shiratake; Ryu Ogiwara; Tadashi Miyakawa; Hidehiro Shiga; Sumiko Doumae; Sumito Ohtsuki; Yoshinori Kumura; Susumu Shuto; Tohru Ozaki; Koji Yamakawa; Iwao Kunishima; Akihiro Nitayama; Shuso Fujii

A 64Mb chain FeRAM implemented in 0.13mum 3M CMOS technology is described. A quad-BL architecture reduces the die area by 6.5% and realizes 87.5mm2 die with an effective cell-size of 0.7191mum2 while eliminating BL-BL coupling noise. A high-speed ECC circuit and cell data write-back scheme achieves read/write cycle time of 60ns and 200MB/S burst


international solid-state circuits conference | 2003

A 32-Mb chain FeRAM with segment/stitch array architecture

Shinichiro Shiratake; Tadashi Miyakawa; Yoshiharu Takeuchi; Ryu Ogiwara; Masahiro Kamoshida; Katsuhiko Hoya; K. Oikawa; Tohru Ozaki; Iwao Kunishima; Koji Yamakawa; S. Sugimoto; Daisaburo Takashima; H.O. Joachim; N. Rehm; J. Wohlfahrt; N. Nagel; G. Beitel; M. Jacob; T. Roehr

A 96mm/sup 2/, 32Mb chain FeRAM in 0.20/spl mu/m 3M CMOS and stacked capacitor technology is described. Cell efficiency of 65.6% is realized by compact memory cell structure and segment/stitch WL architecture. The word line power-on/off sequence protects the data from startup noise. A 3/spl mu/A standby current bias generator and compatible access mode SRAM are implemented for mobile applications.


international solid-state circuits conference | 2001

A 76 mm/sup 2/ 8 Mb chain ferroelectric memory

Daisaburo Takashima; Yoshiharu Takeuchi; Tadashi Miyakawa; Y. Itoh; Ryu Ogiwara; Masahiro Kamoshida; Katsuhiko Hoya; Sumiko Doumae; Tohru Ozaki; Hiroyuki Kanaya; M. Aoki; Koji Yamakawa; Iwao Kunishima; Yukihito Oowaki

An 8 Mb chain FeRAM uses 0.25 /spl mu/m 2-metal CMOS technology. A one-pitch-shift cell realizes 5.2 /spl mu/m/sup 2/ cell area. A chain architecture with a hierarchical wordline scheme gives 76 mm/sup 2/ die. Random access time is 40 ns, and cycle time is 70 ns at 3.0 V.


IEEE Transactions on Very Large Scale Integration Systems | 2010

A 64-Mb Chain FeRAM With Quad BL Architecture and 200 MB/s Burst Mode

Katsuhiko Hoya; Daisaburo Takashima; Shinichiro Shiratake; Ryu Ogiwara; Tadashi Miyakawa; Hidehiro Shiga; Sumiko Doumae; Sumito Ohtsuki; Yoshinori Kumura; Susumu Shuto; Tohru Ozaki; Koji Yamakawa; Iwao Kunishima; Akihiro Nitayama; Shuso Fujii

A 64-Mb chain ferroelectric RAM (chainFeRAM) is fabricated using 130-nm 3-metal CMOS technology. A newly developed quad bitline architecture, which combines folded bitline configuration with shield bitline scheme, eliminates bitline-bitline (BL-BL) coupling noise. The quad bitline architecture also reduces the number of sense amplifiers and activated bitlines, resulting in the reduction of die size by 6.5% and cell array power consumption by 28%. Fast read/write of 60-ns cycle time as well as reliability improvement are realized by two high-speed error checking and correcting (ECC) techniques: 1) fast pre-parity calculation ECC sequence and 2) all-“0”-write-before-data-write scheme. Moreover, among nonvolatile memories reported so far, the 64 Mb chain FeRAM has achieved the highest read/write bandwidth of 200 MB/s with ECC. The chip size is 87.5 mm2 with average cell size of 0.7191 μm2.


symposium on vlsi circuits | 2003

Bitline/plateline reference-level-precharge scheme for high-density chainFeRAM

Kohei Oikawa; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; H.O. Joachim

This paper proposes the new bitline/plateline operation scheme for 32 Mb chainFeRAM, which overcomes these two problems and also overcomes the problem of large array current due to the grounded bitline precharge scheme used for FeRAM.


Archive | 2007

FERROELECTRIC MEMORY WITH SPARE MEMORY CELL ARRAY AND ECC CIRCUIT

Katsuhiko Hoya; Shinichiro Shiratake


international solid-state circuits conference | 2001

A 76 mm2 8 Mb chain ferroelectric memory

Daisaburo Takashima; Yoshiaki Takeuchi; Tsuyoshi Miyakawa; Yoshio Itoh; Ryu Ogiwara; Mamoru Kamoshida; Katsuhiko Hoya; Sumiko Doumae; Tohru Ozaki; Hiroyuki Kanaya; Masami Aoki; Koji Yamakawa; Iwao Kunishima; Yukihito Oowaki


international solid-state circuits conference | 2010

A Scalable Shield-Bitline-Overdrive Technique for Sub-1.5 V Chain FeRAMs

Daisaburo Takashima; Hidehiro Shiga; Daisuke Hashimoto; Tadashi Miyakawa; Shinichiro Shiratake; Katsuhiko Hoya; Ryu Ogiwara; Ryosuke Takizawa; Sumiko Doumae; Ryo Fukuda; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Susumu Shuto; Koji Yamakawa; Iwao Kunishima; Takeshi Hamamoto; Akihiro Nitayama


Archive | 2003

Semiconductor integrated circuit device having ferroelectric capacitor

Katsuhiko Hoya; Daisaburo Takashima

Collaboration


Dive into the Katsuhiko Hoya's collaboration.

Researchain Logo
Decentralizing Knowledge