Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinichiro Shiratake is active.

Publication


Featured researches published by Shinichiro Shiratake.


international solid-state circuits conference | 2009

A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes

Hidehiro Shiga; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; Tadashi Miyakawa; Ryu Ogiwara; Ryo Fukuda; Ryosuke Takizawa; Kosuke Hatsuda; F. Matsuoka; Yasushi Nagadomi; Daisuke Hashimoto; Hisaaki Nishimura; Takeshi Hioka; Sumiko Doumae; Shoichi Shimizu; Mitsumo Kawano; Toyoki Taguchi; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Yoshinori Kumura; Yoshiro Shimojo; Yuki Yamada; Yoshihiro Minami; Susumu Shuto; Koji Yamakawa; Souichi Yamazaki; Iwao Kunishima

An 87.7 mm2 1.6 GB/s 128 Mb chain FeRAM with 130 nm 4-metal CMOS process is demonstrated. In addition to small bitline capacitance inherent to chain FeRAM architecture, three new FeRAM scaling techniques - octal bitline architecture, small parasitic capacitance sensing scheme, and dual metal plateline scheme - reduce bitline capacitance from 100 fF to 60 fF. As a result, a cell signal of ±220 mV is achieved even with the small cell size of 0.252 ¿m2. An 800 Mb/s/pin read/write bandwidth at 400 MHz clock is realized by installing SDRAM compatible DDR2 interface, and performance is verified by simulation. The internal power-line bounce noise due to 400 MHz clock operation is suppressed to less than 50 mV by an event-driven current driver, which supplies several hundreds of mA of current within 2 ns response. The precise timing and voltage controls are achieved by using the data stored in a compact FeRAM-fuse, which consists of extra FeRAM memory cells placed in edge of normal array instead of conventional laser fuse links. This configuration minimizes area penalty to 0.2% without cell signal degradation.


international solid-state circuits conference | 2005

A 63-mW H.264/MPEG-4 audio/visual codec LSI with module-wise dynamic Voltage/frequency scaling

Toshihide Fujiyoshi; Shinichiro Shiratake; Shuou Nomura; Tsuyoshi Nishikawa; Yoshiyuki Kitasho; Hideho Arakida; Yuji Okuda; Yoshiro Tsuboi; Mototsugu Hamada; Hiroyuki Hara; Tetsuya Fujita; Fumitoshi Hatori; Takayoshi Shimazawa; Kunihiko Yahagi; Hideki Takeda; Masami Murakata; Fumihiro Minami; Naoyuki Kawabe; Takeshi Kitahara; Katsuhiro Seta; Masafumi Takahashi; Yukihito Oowaki; Tohru Furuyama

A single-chip H.264 and MPEG-4 audio-visual LSI for mobile applications including terrestrial digital broadcasting system (ISDB-T / DVB-H) with a module-wise, dynamic voltage/frequency scaling architecture is presented for the first time. This LSI can keep operating even during the voltage/frequency transition, so there is no performance overhead. It is realized through a dynamic deskewing system and an on-chip voltage regulator with slew rate control. By the combination with traditional low power techniques such as embedded DRAM and clock gating, it consumes only 63 mW in decoding QVGA H.264 video at 15 frames/sec and MPEG-4 AAC LC audio simultaneously.


symposium on vlsi technology | 1998

A 0.15 /spl mu/m KrF lithography for 1 Gb DRAM product using highly printable patterns and thin resist process

Tohru Ozaki; T. Azuma; M. Itoh; Daisuke Kawamura; Satoshi Tanaka; Y. Ishibashi; Shinichiro Shiratake; S. Kyoh; T. Kondoh; Soichi Inoue; K. Tsuchida; Yusuke Kohyama; Y. Onishi

In order to realize the 1 Gbit DRAM product, 0.15 /spl mu/m photolithography will be necessary. Recently, off-axis illuminations and phase shift masks have been studied for realizing 0.175-0.25 /spl mu/m lithography. Even if these technologies are used, 0.15 /spl mu/m lithography is difficult. Investigating various lithographic approaches by optical simulation including the effect of photoresist processing, we found that a thin resist (300 nm thick), highly printable memory cell patterns, and optical proximity correction are very useful for realizing the 0.15 /spl mu/m rule DRAMs with KrF laser stepper (NA=0.6).


international solid-state circuits conference | 1993

An experimental DRAM with a NAND-structured cell

Takehiro Hasegawa; Daisaburo Takashima; Ryu Ogiwara; Masako Ohta; Shinichiro Shiratake; Takeshi Hamamoto; Takashi Yamada; Masami Aoki; Shigeru Ishibashi; Yukihito Oowaki; Shigeyoshi Watanabe; Fujio Masuoka

An experimental 256-Mb dynamic random access memory using a NAND-structured cell (NAND DRAM) has been fabricated. The NAND-structured cell has four memory cells connected in series, which reduces the area of isolation between the adjacent cells and also reduces the bit-line contact area. The cell area per bit measures 0.962 mu m/sup 2/, using 0.4- mu m CMOS technology, which is 63% in comparison with the conventional cell. In order to reduce the die size, time division multiplex sense-amplifier (TMS) architecture, in which a sense amplifier is shared by four bit lines, has been newly introduced. The chip area is 464 mm/sup 2/, which is 68% compared with the DRAM using the current cell structure. The data can be accessed by a fast-block-access mode up to 512 bytes as well as a random access mode. Typical 112-ns access time of the first data in a block and 30-ns serial cycle time are achieved. >


international solid-state circuits conference | 2006

A 64Mb Chain FeRAM with Quad-BL Architecture and 200MB/s Burst Mode

Katsuhiko Hoya; Daisaburo Takashima; Shinichiro Shiratake; Ryu Ogiwara; Tadashi Miyakawa; Hidehiro Shiga; Sumiko Doumae; Sumito Ohtsuki; Yoshinori Kumura; Susumu Shuto; Tohru Ozaki; Koji Yamakawa; Iwao Kunishima; Akihiro Nitayama; Shuso Fujii

A 64Mb chain FeRAM implemented in 0.13mum 3M CMOS technology is described. A quad-BL architecture reduces the die area by 6.5% and realizes 87.5mm2 die with an effective cell-size of 0.7191mum2 while eliminating BL-BL coupling noise. A high-speed ECC circuit and cell data write-back scheme achieves read/write cycle time of 60ns and 200MB/S burst


international solid-state circuits conference | 2003

A 32-Mb chain FeRAM with segment/stitch array architecture

Shinichiro Shiratake; Tadashi Miyakawa; Yoshiharu Takeuchi; Ryu Ogiwara; Masahiro Kamoshida; Katsuhiko Hoya; K. Oikawa; Tohru Ozaki; Iwao Kunishima; Koji Yamakawa; S. Sugimoto; Daisaburo Takashima; H.O. Joachim; N. Rehm; J. Wohlfahrt; N. Nagel; G. Beitel; M. Jacob; T. Roehr

A 96mm/sup 2/, 32Mb chain FeRAM in 0.20/spl mu/m 3M CMOS and stacked capacitor technology is described. Cell efficiency of 65.6% is realized by compact memory cell structure and segment/stitch WL architecture. The word line power-on/off sequence protects the data from startup noise. A 3/spl mu/A standby current bias generator and compatible access mode SRAM are implemented for mobile applications.


IEEE Transactions on Very Large Scale Integration Systems | 2010

A 64-Mb Chain FeRAM With Quad BL Architecture and 200 MB/s Burst Mode

Katsuhiko Hoya; Daisaburo Takashima; Shinichiro Shiratake; Ryu Ogiwara; Tadashi Miyakawa; Hidehiro Shiga; Sumiko Doumae; Sumito Ohtsuki; Yoshinori Kumura; Susumu Shuto; Tohru Ozaki; Koji Yamakawa; Iwao Kunishima; Akihiro Nitayama; Shuso Fujii

A 64-Mb chain ferroelectric RAM (chainFeRAM) is fabricated using 130-nm 3-metal CMOS technology. A newly developed quad bitline architecture, which combines folded bitline configuration with shield bitline scheme, eliminates bitline-bitline (BL-BL) coupling noise. The quad bitline architecture also reduces the number of sense amplifiers and activated bitlines, resulting in the reduction of die size by 6.5% and cell array power consumption by 28%. Fast read/write of 60-ns cycle time as well as reliability improvement are realized by two high-speed error checking and correcting (ECC) techniques: 1) fast pre-parity calculation ECC sequence and 2) all-“0”-write-before-data-write scheme. Moreover, among nonvolatile memories reported so far, the 64 Mb chain FeRAM has achieved the highest read/write bandwidth of 200 MB/s with ECC. The chip size is 87.5 mm2 with average cell size of 0.7191 μm2.


symposium on vlsi circuits | 1999

A pseudo multi-bank DRAM with categorized access sequence

Shinichiro Shiratake; Kenji Tsuchida; H. Toda; H. Kuyama; M. Wada; F. Kouno; Tsuneo Inaba; H. Akita; Katsuaki Isobe

A new architecture which realizes the large bandwidth with virtually the same core circuitry as a conventional DRAM is proposed. The improved row block activation scheme combined with a categorized access sequence improves the bandwidth of the DRAM even with the shared sense amplifier scheme. The data efficiency of the random read/write mixed cycle is improved by the proposed delayed write operation, which fills the write to read command gap effectively. The proposed architecture is successfully examined in the 128 Mbit test vehicle fabricated with a 0.15 /spl mu/m CMOS process.


symposium on vlsi circuits | 1996

A dual layer bitline DRAM array with Vcc/Vss hybrid precharge for multi-gigabit DRAMs

Hiroaki Nakano; Daisaburo Takashima; Kenji Tsuchida; Shinichiro Shiratake; Tsuneo Inaba; Masako Ohta; Yukihito Oowaki; Shigeyoshi Watanabe; Kazuya Ohuchi; J. Matsunaga

A dual layer BL array and a Vcc/Vss hybrid precharge sensing scheme has been proposed. The array affords the maximum memory cell density and relaxed sense amplifier layout which is as wide as the conventional folded BL sense amplifier layout. The Vcc/Vss hybrid precharge scheme gives the doubled operation voltage for sensing compared with the conventional half Vcc precharge method without the BL charge/discharge current increase.


IEICE Transactions on Electronics | 2006

Module-Wise Dynamic Voltage and Frequency Scaling for a 90 nm H.264/MPEG-4 Codec LSI

Yukihito Oowaki; Shinichiro Shiratake; Toshihide Fujiyoshi; Mototsugu Hamada; Fumitoshi Hatori; Masami Murakata; Masafumi Takahashi

The module-wise dynamic voltage and frequency scaling (MDVFS) scheme is applied to a single-chip H.264/MPEG-4 audio/visual codec LSI. The power consumption of the target module with controlled supply voltage and frequency is reduced by 40% in comparison with the operation without voltage or frequency scaling. The consumed power of the chip is 63 mW in decoding QVGA H.264 video at 15 fps and MPEG-4 AAC LC audio simultaneously. This LSI keep operating continuously even during the voltage transition of the target module by introducing the newly developed dynamic de-skewing system (DDS) which watches and control the clock edge of the target module.

Collaboration


Dive into the Shinichiro Shiratake's collaboration.

Researchain Logo
Decentralizing Knowledge