Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Daisaburo Takashima is active.

Publication


Featured researches published by Daisaburo Takashima.


IEEE Journal of Solid-state Circuits | 1995

A novel circuit technology with surrounding gate transistors (SGT's) for ultra high density DRAM's

Shigeyoshi Watanabe; Kenji Tsuchida; Daisaburo Takashima; Yukihito Oowaki; Akihiro Nitayama; Katsuhiko Hieda; H. Takato; Kazumasa Sunouchi; Fumio Horiguchi; Kazuya Ohuchi; F. Masuoka; H. Hara

This paper describes a novel circuit technology with Surrounding Gate Transistors (SGTs) For ultra high density DRAMs. In order to reduce the chip size drastically, an SGT is employed to all the transistors within a chip. SGTs connected in series and a common source SGT have been newly developed for the core circuit, such as a sense amplifier designed by a tight design rule. Furthermore, to reduce the inherent cell array noise caused by a relaxed open bit line (BL) architecture, a noise killer circuit placed in the word line (WL) shunt region and a twisted BL architecture within the sense amplifier region combined with a novel separation sensing scheme have been newly introduced. Using the novel circuit technology, a 32.9% smaller chip size can be successfully achieved for a 64-Mb DRAM and 34.4% for a 1-Gb DRAM compared with a DRAM composed of the planar transistor without sacrificing the access time, power dissipation, and V/sub cc/ margin. Furthermore,the effectiveness of this technology is verified by using the circuit simulation of the internal main nodes such as WL and BL. >


international solid-state circuits conference | 2009

A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes

Hidehiro Shiga; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; Tadashi Miyakawa; Ryu Ogiwara; Ryo Fukuda; Ryosuke Takizawa; Kosuke Hatsuda; F. Matsuoka; Yasushi Nagadomi; Daisuke Hashimoto; Hisaaki Nishimura; Takeshi Hioka; Sumiko Doumae; Shoichi Shimizu; Mitsumo Kawano; Toyoki Taguchi; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Yoshinori Kumura; Yoshiro Shimojo; Yuki Yamada; Yoshihiro Minami; Susumu Shuto; Koji Yamakawa; Souichi Yamazaki; Iwao Kunishima

An 87.7 mm2 1.6 GB/s 128 Mb chain FeRAM with 130 nm 4-metal CMOS process is demonstrated. In addition to small bitline capacitance inherent to chain FeRAM architecture, three new FeRAM scaling techniques - octal bitline architecture, small parasitic capacitance sensing scheme, and dual metal plateline scheme - reduce bitline capacitance from 100 fF to 60 fF. As a result, a cell signal of ±220 mV is achieved even with the small cell size of 0.252 ¿m2. An 800 Mb/s/pin read/write bandwidth at 400 MHz clock is realized by installing SDRAM compatible DDR2 interface, and performance is verified by simulation. The internal power-line bounce noise due to 400 MHz clock operation is suppressed to less than 50 mV by an event-driven current driver, which supplies several hundreds of mA of current within 2 ns response. The precise timing and voltage controls are achieved by using the data stored in a compact FeRAM-fuse, which consists of extra FeRAM memory cells placed in edge of normal array instead of conventional laser fuse links. This configuration minimizes area penalty to 0.2% without cell signal degradation.


IEEE Journal of Solid-state Circuits | 1994

Open/folded bit-line arrangement for ultra-high-density DRAM's

Daisaburo Takashima; Shigeyoshi Watanabe; Hiroaki Nakano; Yukihito Oowaki; Kazunori Ohuchi

An open/folded bit-line (BL) arrangement for scaled DRAMs is proposed. This BL arrangement offers small die size and good array noise immunity. In this arrangement, one BL of an open BL pair is placed in between a folded BL pair, and the sense amplifiers (SAs) for open BLs and those for folded BLs are placed alternately between the memory arrays. This arrangement features a small 6F/sup 2/ memory cell, where F is the device feature size, and a relaxed SA pitch of 6F. The die size of a 64-Mb DRAM can be reduced to 81.6% compared with the one using the conventional folded BL arrangement. The BL-BL coupling noise is reduced to one-half of that of the conventional folded BL arrangement, thanks to the shield effect. Two new circuit techniques, 1) a multiplexer for connecting BLs to SAs, and 2) a binary-to-ternary code converter for the multiplexer have been developed to realize the new BL arrangement. >


IEEE Journal of Solid-state Circuits | 1998

High-density chain ferroelectric random access memory (chain FRAM)

Daisaburo Takashima; I. Kunishima

A new chain ferroelectric random access memory-a chain FRAM-has been proposed. A memory cell consists of parallel connection of one transistor and one ferroelectric capacitor, and one memory cell block consists of plural memory cells connected in series and a block selecting transistor. This configuration realizes the smallest 4 F/sup 2/ size memory cell using the planar transistor so far reported, and random access. The chip size of the proposed chain FRAM can be reduced to 63% of that of the conventional FRAM when 16 cells are connected in series. The fast nondriven half-V/sub dd/ cell-plate scheme, as well as the driven cell-plate scheme, are applicable to the chain FRAM without polarization switching during the standby cycle thanks to short-circuiting ferroelectric capacitors. It results in fast access time of 45 ns and cycle time of 70 ns without refresh operation.


IEEE Journal of Solid-state Circuits | 1994

Standby/active mode logic for sub-1-V operating ULSI memory

Daisaburo Takashima; Shigeyoshi Watanabe; Hiroalu Nakano; Yukihito Oowaki; Kazunori Ohuchi; Hiroyuki Tango

New gate logics, standby/active mode logic I and II, for future 1 Gb/4 Gb DRAMs and battery operated memories are proposed. The circuits realize sub-l-V supply voltage operation with a small 1-/spl mu/A standby subthreshold leakage current, by allowing 1 mA leakage in the active cycle. Logic I is composed of logic gates using dual threshold voltage (Vt) transistors, and it can achieve low standby leakage by adopting high Vt transistors only to transistors which cause a standby leakage current. Logic II uses dual supply voltage lines, and reduces the standby leakage by controlling the supply voltage of transistors dissipating a standby leakage current. The gate delay of logic I is reduced by 30-37% at the supply voltage of 1.5-1.0 V, and the gate delay of logic II is reduced by 40-85% at the supply voltage of 1.5-0.8 V, as compared to that of the conventional CMOS logic. >


international solid-state circuits conference | 1993

An experimental DRAM with a NAND-structured cell

Takehiro Hasegawa; Daisaburo Takashima; Ryu Ogiwara; Masako Ohta; Shinichiro Shiratake; Takeshi Hamamoto; Takashi Yamada; Masami Aoki; Shigeru Ishibashi; Yukihito Oowaki; Shigeyoshi Watanabe; Fujio Masuoka

An experimental 256-Mb dynamic random access memory using a NAND-structured cell (NAND DRAM) has been fabricated. The NAND-structured cell has four memory cells connected in series, which reduces the area of isolation between the adjacent cells and also reduces the bit-line contact area. The cell area per bit measures 0.962 mu m/sup 2/, using 0.4- mu m CMOS technology, which is 63% in comparison with the conventional cell. In order to reduce the die size, time division multiplex sense-amplifier (TMS) architecture, in which a sense amplifier is shared by four bit lines, has been newly introduced. The chip area is 464 mm/sup 2/, which is 68% compared with the DRAM using the current cell structure. The data can be accessed by a fast-block-access mode up to 512 bytes as well as a random access mode. Typical 112-ns access time of the first data in a block and 30-ns serial cycle time are achieved. >


international solid-state circuits conference | 2006

A 64Mb Chain FeRAM with Quad-BL Architecture and 200MB/s Burst Mode

Katsuhiko Hoya; Daisaburo Takashima; Shinichiro Shiratake; Ryu Ogiwara; Tadashi Miyakawa; Hidehiro Shiga; Sumiko Doumae; Sumito Ohtsuki; Yoshinori Kumura; Susumu Shuto; Tohru Ozaki; Koji Yamakawa; Iwao Kunishima; Akihiro Nitayama; Shuso Fujii

A 64Mb chain FeRAM implemented in 0.13mum 3M CMOS technology is described. A quad-BL architecture reduces the die area by 6.5% and realizes 87.5mm2 die with an effective cell-size of 0.7191mum2 while eliminating BL-BL coupling noise. A high-speed ECC circuit and cell data write-back scheme achieves read/write cycle time of 60ns and 200MB/S burst


IEEE Journal of Solid-state Circuits | 1991

A 33-ns 64-Mb DRAM

Yukihito Oowaki; Kenji Tsuchida; Y. Watanabe; Daisaburo Takashima; Masako Ohta; Hiroaki Nakano; Shigeyoshi Watanabe; Akihiro Nitayama; Fumio Horiguchi; Kazunori Ohuchi; F. Masuoka

A 64-Mb CMOS dynamic RAM (DRAM) measuring 176.4 mm/sup 2/ has been fabricated using a 0.4- mu m N-substrate triple-well CMOS, double-poly, double-polycide, double-metal process technology. The asymmetrical stacked-trench capacitor (AST) cells, 0.9 mu m*1.7 mu m each, are laid out in a PMOS centered interdigitated twisted bit-line (PCITBL) scheme that achieves both low noise and high packing density. Three circuit techniques were developed to meet high-speed requirements. Using the preboosted word-line drive-line technique, a bypassed sense-amplifier drive-line scheme, and a quasi-static data transfer technique, a typical RAS access time of 33 ns and a typical column address access time of 15 ns have been achieved. >


international solid-state circuits conference | 1999

A sub-40 ns random-access chain FRAM architecture with a 768 cell-plate-line drive

Daisaburo Takashima; Susumu Shuto; Iwao Kunishima; Hiroyuki Takenaka; Yukihito Oowaki; Shinichi Tanaka

This work demonstrates a prototype of nonvolatile chain ferroelectric RAM (chain FRAM), with fast compact cell-plate-line drive. A 16 kb chain FRAM test chip using 0.5 /spl mu/m 2-metal CMOS achieves 37 ns random-access time and 80 ns read/write cycle time at 3.3 V.


IEEE Journal of Solid-state Circuits | 1998

Noise suppression scheme for gigabit-scale and gigabyte/s data-rate LSI's

Daisaburo Takashima; Yukihito Oowaki; Shigeyoshi Watanabe; Kazunori Ohuchi

In order to reduce the power/ground noise due to the off-chip parasitic inductance and realize gigabit-scale and ultra-high bandwidth large scale integrations (LSIs), this paper proposes two new techniques: (1) a constant-current voltage-down converter (VDC) which reduces the differential mode noise caused by internal peak current in a chip, and (2) a partially inverted data bus architecture which suppresses the common-mode noise caused by driving a large amount of output buffers. The new VDC requires almost constant current through an external V/sub dd//V/sub ss/ pin in spite of an internal large peak current, resulting in the suppression of the inductance induced voltage bounce and oscillation. Using the new VDC, the power/ground noise in a 1-Gb DRAM is reduced to 20% of the conventional one. The new bus architecture reduces the common-mode noise to 1/n by inverting output bus data partially, using only n-1 bit flag signals. Moreover, the modified new bus architecture reduces the noise to 1/2n by using only n bit flag signals. These architectures achieve the ultra-high data transfer rate of 16 GB/s to 32 GB/s.

Collaboration


Dive into the Daisaburo Takashima's collaboration.

Researchain Logo
Decentralizing Knowledge