Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Keith H. Jackson is active.

Publication


Featured researches published by Keith H. Jackson.


Lawrence Berkeley National Laboratory | 2001

System integration and performance of the EUV engineering test stand

Daniel A. Tichenor; Avijit K. Ray-Chaudhuri; William C. Replogle; Richard H. Stulen; Glenn D. Kubiak; Paul D. Rockett; Leonard E. Klebanoff; Karen L. Jefferson; Alvin H. Leung; John B. Wronosky; Layton C. Hale; Henry N. Chapman; John S. Taylor; James A. Folta; Claude Montcalm; Regina Soufli; Kenneth L. Blaedel; Gary E. Sommargren; Donald W. Sweeney; Patrick P. Naulleau; Kenneth A. Goldberg; Eric M. Gullikson; Jeffrey Bokor; Phillip J. Batson; David T. Attwood; Keith H. Jackson; Scott Daniel Hector; Charles W. Gwyn; Pei-Yang Yan; P. Yan

The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features.


Emerging lithographic technologies. Conference | 1997

Characterization of an EUV Schwarzschild objective using phase-shifting point diffraction interferometry

Kenneth A. Goldberg; Edita Tejnil; Sang Hun Lee; Hector Medecki; David T. Attwood; Keith H. Jackson; Jeffrey Bokor

We report wavefront measurement of a multilayer-coated, reflective optical system at 13.4-nm wavelength performed using a novel phase-shifting point-diffraction interferometer. Successful interferometric measurements of a 10x Schwarzschild objective designed for extreme ultraviolet projection lithography with 0.1-micrometer resolution demonstrate high- precision with sub-nanometer resolution. The goal of the interferometry is to achieve wavefront measurement accuracy beyond lambda/50 rms at EUV wavelengths. Preliminary measurements are discussed and the paths toward achieving the target accuracy are identified.


Journal of Micromechanics and Microengineering | 1996

Masks for high aspect ratio x-ray lithography

Chantal Khan Malek; Keith H. Jackson; William D. Bonivert; Jill M. Hruby

The requirements for deep x-ray lithography (DXRL) masks are reviewed and a recently developed cost effective mask fabrication process is described. The review includes a summary of tabulated properties for materials used in the fabrication of DXRL masks. X-ray transparency and mask contrast are calculated for material combinations using simulations of exposure at the Advanced Light Source (ALS) at Berkeley, and compared to the requirements for standard x-ray lithography (XRL) mask technology. Guided by the requirements, a cost-effective fabrication process for manufacturing high contrast masks for DXRL has been developed. Thick absorber patterns () on a thin silicon wafer (m) were made using contact printing in thick positive (Hoechst 4620) and negative (OCG 7020) photoresist and subsequent gold electrodeposition. Gold was deposited using a commercially available gold sulphite bath with low current density and good agitation. The resultant gold films were fine-grained and stress-free. Replication of such masks into thick acrylic sheets was performed at the ALS.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Static microfield printing at the advanced light source with the ETS Set-2 optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; David T. Attwood; Phillip J. Batson; Jeffrey Bokor; Paul Denham; Eric M. Gullikson; Bruce Harteneck; Brian Hoef; Keith H. Jackson; Deirdre L. Olynick; Senajith Rekawa; Farhad Salmassi; Kenneth L. Blaedel; Henry N. Chapman; Layton C. Hale; Regina Soufli; Donald W. Sweeney; John R. Taylor; Christopher C. Walton; Avijit K. Ray-Chaudhuri; Donna J. O'Connell; Richard H. Stulen; Daniel A. Tichenor; Charles W. Gwyn; Pei-Yang Yan; Guojing Zhang

While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. The comparison of lithographic imaging with that predicted from wavefront performance is also useful for verifying and improving the predictive power of wavefront metrology. To address these issues, static, small-field printing capabilities have been added to the EUV phase- shifting point diffraction interferometry implemented at the Advanced Light Source at Lawrence Berkeley National Laboratory. The combined system remains extremely flexible in that switching between interferometry and imaging modes can be accomplished in approximately two weeks.


IEEE Journal of Quantum Electronics | 2006

Extreme ultraviolet lithography capabilities at the advanced light source using a 0.3-NA optic

Patrick Naulleau; Kenneth A. Goldberg; Jason P. Cain; Erik H. Anderson; Kim Dean; Paul Denham; Brian Hoef; Keith H. Jackson

Extreme ultraviolet lithography is a leading candidate for volume production of nanoelectronics at the 32-nm node and beyond. In order to ensure adequate maturity of the technology by the start date for the 32-nm node, advanced development tools are required today with numerical apertures of 0.25 or larger. In order to meet these development needs, a microexposure tool based on SEMATECHs 0.3-numerical aperture microfield optic has been developed and implemented at Lawrence Berkeley National Laboratory, Berkeley, CA. Here we describe the Berkeley exposure tool in detail, discuss its characterization, and summarize printing results obtained over the past year. Limited by the availability of ultrahigh resolution chemically amplified resists, present resolving capabilities limits are approximately 32 nm for equal lines and spaces and 28 nm for semi-isolated lines.


Lawrence Berkeley National Laboratory | 2001

Adding static printing capabilities to the EUV phase-shifting point diffraction interferometer

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Phillip J. Batson; Paul Denham; Keith H. Jackson; Senajith Rekawa; Jeffrey Bokor

While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. Direct comparison of imaging and wavefront performance is also useful for verifying and improving the predictive power of wavefront metrology under actual printing conditions. To address these issues, static, small-field printing capabilities are being added to the extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) implemented at the Advanced Light Source at Lawrence Berkeley National Laboratory. This Sub- field Exposure Station (SES) will enable the earliest possible imaging characterization of the upcoming Engineering Test Stand (ETS) Set-2 projection optics. Relevant printing studies with the ETS projection optics require illumination partial coherence with (sigma) of approximately 0.7. This (sigma) value is very different from the coherent illumination requirements of the EUV PS/PDI and the coherence properties naturally provided by synchrotron undulator beamline illumination. Adding printing capabilities to the PS/PDI experimental system thus necessitates the development of an alternative illumination system capable of destroying the inherent coherence of the beamline. The SES is being implemented with two independent illuminators: the first is based on a novel EUV diffuser currently under development and the second is based on a scanning mirror design. Here we describe the design and implementation of the new SES, including a discussion of the illuminators and the fabrication of the EUV diffuser.


Journal of Vacuum Science & Technology B | 2001

At-wavelength characterization of the extreme ultraviolet Engineering Test Stand Set-2 optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Phillip J. Batson; Paul Denham; Keith H. Jackson; Eric M. Gullikson; Senajith Rekawa; Jeffrey Bokor

At-wavelength interferometric characterization of a new 4x-reduction lithographic-quality extreme ultraviolet (EUV) optical system is described. This state-of-the-art projection optic was fabricated for installation in the EUV lithography Engineering Test Stand (ETS) and is referred to as the ETS Set-2 optic. EUV characterization of the Set-2 optic is performed using the EUV phase-shifting point diffraction interferometer (PS/PDI) installed on an undulator beamline at Lawrence Berkeley National Laboratorys Advanced Light Source. This is the same interferometer previously used for the at-wavelength characterization and alignment of the ETS Set-1 optic. In addition to the PS/PDI-based full-field wavefront characterization, we also present wavefront measurements performed with lateral shearing interferometry, the chromatic dependence of the wavefront error, and the system-level pupil-dependent spectral-bandpass characteristics of the optic; the latter two properties are only measurable using at-wavelength interferometry.


Emerging Lithographic Technologies VII | 2003

EUV Interferometry of the 0.3 NA MET Optic

Kenneth A. Goldberg; Patrick P. Naulleau; Paul Denham; Senajith Rekawa; Keith H. Jackson; Erik H. Anderson; J. Alexander Liddle; Jeffrey Bokor

A new generation of 0.3 numerical aperture prototype EUV optical systems is now being produced to provide an opportunity for early learning at 20-nm feature size. Achieving diffraction limited performance from these two-mirror, annular projection optics poses a challenge for every aspect of the fabrication process, including final alignment and interferometric qualification. A new phase-shifting point diffraction interferometer will be used at Lawrence Berkeley National Laboratory for the measurement and alignment of the MET optic at EUV wavelengths. Using the previous generation of prototype EUV optical systems developed for lithography research, with numerical apertures up to 0.1, EUV interferometers have demonstrated RMS accuracy levels in the 40-70 pm range. Relative to the previous generation of prototype EUV optics, the threefold increase to 0.3 NA in the image-side numerical aperture presents several challenges for the extension of ultra-high-accuracy.


SPIE's 1996 International Symposium on Optical Science, Engineering, and Instrumentation | 1996

High flux undulator beamline optics for EUV interferometry and photoemission microscopy

H. Raul Beguiristain; James H. Underwood; Masato Koike; Phillip J. Batson; Eric M. Gullikson; Keith H. Jackson; Hector Medecki; David T. Attwood

A long undulator installed at a low emittance storage ring, generates quasi-monochromatic beams of high brightness and partial coherence properties; however, this also raises concerns regarding high heat loads on beam line components. There have been intensive research efforts to develop beam line optics to exploit brightness and coherence properties from undulators. These components must withstand high heat loads produced by intense synchrotron radiation beams impinging on their surface which could degrade beam line performance. The effects of high flux undulator radiation on beam line optics for EUV interferometry and photoemission microscopy will be discussed. Specifically, beam line schematics, design considerations of indirectly side cooled mirror and grating assemblies developed at the Center for X- Ray Optics and recent data of performance under undulator radiation load from beam line BL12.0 being commissioned at the ALS will be presented in this study.


Journal of Vacuum Science & Technology B | 2003

Preparations for extreme ultraviolet interferometry of the 0.3 numerical aperture Micro Exposure Tool optic

Kenneth A. Goldberg; Patrick P. Naulleau; Paul Denham; Senajith Rekawa; Keith H. Jackson; J. Alexander Liddle; Bruce Harteneck; Eric M. Gullikson; Erik H. Anderson

An at-wavelength interferometer is being created for the measurement and alignment of the 0.3 numerical aperture Micro Exposure Tool (MET) projection optic at extreme ultraviolet (EUV) wavelengths. The prototype MET system promises to provide early learning from EUV lithographic imaging down to 20 nm feature size. The threefold increase to 0.3 NA in the image-side numerical aperture presents several challenges for the extension of ultrahigh-accuracy interferometry, including pinhole fabrication and the calibration and removal of systematic error sources.

Collaboration


Dive into the Keith H. Jackson's collaboration.

Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Senajith Rekawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Phillip J. Batson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Hector Medecki

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge