Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Senajith Rekawa is active.

Publication


Featured researches published by Senajith Rekawa.


Optics Express | 2009

Demonstration of 12 nm Resolution Fresnel Zone Plate Lens based Soft X-ray Microscopy

Weilun Chao; Jihoon Kim; Senajith Rekawa; Peter Fischer; Erik H. Anderson

To extend soft x-ray microscopy to a resolution of order 10 nm or better, we developed a new nanofabrication process for Fresnel zone plate lenses. The new process, based on the double patterning technique, has enabled us to fabricate high quality gold zone plates with 12 nm outer zones. Testing of the zone plate with the full-field transmission x-ray microscope, XM-1, in Berkeley, showed that the lens clearly resolved 12 nm lines and spaces. This result represents a significant step towards 10 nm resolution and beyond.


Optics Express | 2012

Real space soft x-ray imaging at 10 nm spatial resolution.

Weilun Chao; Peter Fischer; Tolek Tyliszczak; Senajith Rekawa; Erik H. Anderson; Patrick P. Naulleau

Using Fresnel zone plates made with our robust nanofabrication processes, we have successfully achieved 10 nm spatial resolution with soft x-ray microscopy. The result, obtained with both a conventional full-field and scanning soft x-ray microscope, marks a significant step forward in extending the microscopy to truly nanoscale studies.


Emerging Lithographic Technologies VIII | 2004

Status of EUV micro-exposure capabilities at the ALS using the 0.3-NA MET optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Kevin Bradley; Rene Delano; Paul Denham; Bob Gunion; Bruce Harteneck; Brian Hoef; Hanjing Huang; Keith Jackson; Gideon Jones; Drew Kemp; J.A. Liddle; Ron Oort; Al Rawlins; Senajith Rekawa; Farhad Salmassi; Ron Tackaberry; Carl Chung; Layton C. Hale; Don Phillion; Gary E. Sommargren; John S. Taylor

The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time. As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.


Optics Letters | 2003

Hartmann wave-front measurement at 13.4 nm with λ EUV /120 accuracy

Pascal Mercère; Philippe Zeitoun; Mourad Idir; Sebastien Le Pape; Denis Douillet; Xavier Levecq; Guillaume Dovillaire; Samuel Bucourt; Kenneth A. Goldberg; Patrick P. Naulleau; Senajith Rekawa

We report, for the first time to our knowledge, experimental demonstration of wave-front analysis via the Hartmann technique in the extreme ultraviolet range. The reference wave front needed to calibrate the sensor was generated by spatially filtering a focused undulator beam with 1.7- and 0.6-microm-diameter pinholes. To fully characterize the sensor, accuracy and sensitivity measurements were performed. The incident beams wavelength was varied from 7 to 25 nm. Measurements of accuracy better than lambdaEUV/120 (0.11 nm) were obtained at lambdaEUV = 13.4 nm. The aberrations introduced by an additional thin mirror, as well as wave front of the spatially unfiltered incident beam, were also measured.


Applied Optics | 2003

Fourier-synthesis custom-coherence illuminator for extreme ultraviolet microfield lithography

Patrick P. Naulleau; Kenneth A. Goldberg; Phil Batson; Jeffrey Bokor; Paul Denham; Senajith Rekawa

Scanning illumination systems provide for a powerful and flexible means for controlling illumination coherence properties. Here we present a scanning Fourier synthesis illuminator that enables microfield extreme ultraviolet lithography to be performed on an intrinsically coherent synchrotron undulator beamline. The effectiveness of the system is demonstrated through a variety of print experiments, including the use of resolution enhancing coherence functions that enable the printing of 50-nm line-space features by use of a lithographic optic with a numerical aperture of 0.1 and an operational wavelength of 13.4 nm.


Lawrence Berkeley National Laboratory | 2007

Performance of actinic-EUVL mask imaging using a zoneplate microscope

Kenneth A. Goldberg; Patrick P. Naulleau; Anton Barty; Senajith Rekawa; Charles D. Kemp; Robert F. Gunion; Farhad Salmassi; Eric M. Gullikson; Erik H. Anderson; Hakseung Han

The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to im-prove uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5× wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with σ below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.


Journal of Vacuum Science & Technology B | 2004

At-wavelength alignment and testing of the 0.3 NA MET optic

Kenneth A. Goldberg; Patrick P. Naulleau; Paul Denham; Senajith Rekawa; Keith Jackson; Erik H. Anderson; J. Alexander Liddle

Extreme ultraviolet (EUV) interferometry has been successfully performed for the first time at 0.3 numerical aperture (NA). Extensive EUV “at-wavelength” testing including alignment, was performed on a newly created Micro Exposure Tool (MET) optic designed for sub-50-nm EUV lithographic imaging experiments. The two-mirror, 0.3 NA MET is among the highest resolution light-projection lithography tools ever made. Using both lateral shearing and phase-shifting point-diffraction interferometry, the wavefront was measured across the field of view, and the alignment was optimized in preparation for imaging. The wavefront quality reached 0.55nm RMS (λEUV∕24.5) in a 37-term annular Zernike polynomial series, dominated by higher-order spherical aberration. Measurements included calibrations of the interferometer accuracy, assessment of repeatability, and cross-comparisons of visible and EUV interferometric measurements.


Proceedings of SPIE | 2013

Commissioning an EUV mask microscope for lithography generations reaching 8 nm

Kenneth A. Goldberg; Iacopo Mochi; Markus P. Benk; Arnaud P. Allezy; Michael R. Dickinson; Carl W. Cork; Daniel Zehm; James Macdougall; Erik H. Anderson; Farhad Salmassi; W. Chao; Vamsi Vytla; Eric M. Gullikson; Jason DePonte; M. S. Gideon Jones; Douglas Van Camp; Jeffrey F. Gamsby; William B. Ghiorso; Hanjing Huang; William Cork; Elizabeth Martin; Eric Van Every; Eric Acome; Veljko Milanović; Rene Delano; Patrick P. Naulleau; Senajith Rekawa

The SEMATECH High-NA Actinic Reticle review Project (SHARP) is a synchrotron-based, EUV-wavelength microscope, dedicated to photomask imaging, now being commissioned at Lawrence Berkeley National Laboratory. In terms of throughput, resolution, coherence control, stability and ease of use, SHARP represents a significant advance over its predecessor, the SEMATECH Berkeley Actinic Inspection Tool (AIT), which was decommissioned in September 2012. SHARP utilizes several advanced technologies to achieve its design goals: including the first Fouriersynthesis illuminator on a zoneplate microscope, EUV MEMS mirrors, and high-efficiency freestanding zoneplate lenses with numerical aperture values up to 0.625 (4×). In its first week of operation, SHARP demonstrated approximately 150 times higher light throughput than AIT and a spatial resolution down to 55-nm half-pitch with 0.42 4×NA (i.e. the smallest feature size on our test mask.) This paper describes the current status of the tool commissioning and the performance metrics available at this early stage.


Proceedings of SPIE | 2014

Actinic mask imaging: recent results and future directions from the SHARP EUV microscope

Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Iacopo Mochi; Senajith Rekawa; Arnaud P. Allezy; Michael R. Dickinson; Carl W. Cork; Weilun Chao; Daniel Zehm; James Macdougall; Patrick P. Naulleau; Anne Rudack

The SEMATECH High Numerical Aperture Actinic Reticle Review Project (SHARP) is a synchrotron-based extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP has been operational and serving users since June, 2013, and in eight months, SHARP has recorded over 71,000 high-resolution images. Exposure times are 5 to 8 seconds, and 8 or more through-focus series can be collected per hour at positions spanning the entire mask surface. SHARP’s lossless coherence-control illuminator and variable numerical aperture (NA) enable researchers to emulate the imaging properties of both current and future EUV lithography tools. SHARP’s performance continues to improve over time due to tool learning and upgraded capabilities, described here. Within a centered, 3-μm square image region, we demonstrate an illumination power stability above 99%, and an average uniformity of 98.4%. Demonstrations of through-focus imaging with various illumination coherence settings highlight the capabilities of SHARP.


Journal of Vacuum Science & Technology B | 2009

Hydrogen silsesquioxane double patterning process for 12nm resolution x-ray zone plates

Weilun Chao; Jihoon Kim; Senajith Rekawa; P. Fischer; Erik H. Anderson

Soft x-ray zone plate microscopy is a powerful nanoanalytic technique used for a wide variety of scientific and technological studies. Pushing its spatial resolution to 10nm and below is highly desired and feasible due to the short wavelength of soft x rays. Instruments using Fresnel zone plate lenses achieve a spatial resolution approximately equal to the smallest, outermost zone width. In this work, a double patterning zone plate fabrication process is developed. based on a high resolution resist, hydrogen silsesquioxane (HSQ), to bypass the limitations of conventional single exposure fabrication to pattern density, such as finite beam size, scattering in resist, and modest intrinsic resist contrast. To fabricate HSQ structures with zone widths on the order of 10nm on gold plating base, a surface conditioning process with (3-mercaptopropyl) trimethoxysilane, 3-MPT, is used, which forms a homogeneous hydroxylation surface on gold surface and provides good anchoring for the desired HSQ structures. Using t...

Collaboration


Dive into the Senajith Rekawa's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Top Co-Authors

Avatar

J. Alexander Liddle

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Keith H. Jackson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Charles D. Kemp

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Weilun Chao

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge