Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Len Y. Tsou is active.

Publication


Featured researches published by Len Y. Tsou.


advanced semiconductor manufacturing conference | 2004

Trimming of hard-masks by gaseous Chemical Oxide Removal (COR) for sub-10 nm gates/fins, for gate length control and for embedded logic

Wesley C. Natzle; David V. Horak; Sadanand V. Deshpande; Chien-Fan Yu; Joyce C. Liu; R.W. Mann; B. Doris; H. Hanafi; Jeffrey Douglas Brown; A. Sekiguchi; M. Tomoyasu; A. Yamashita; D. Prager; M. Funk; P.E. Cottrell; F. Higuchi; H. Takahashi; M. Sendelbach; E. Solecky; Wendy Yan; Len Y. Tsou; Qingyun Yang; J.P. Norum; S.S. Iyer

A method for formation and control of silicon gates or fins uses trim of a hard mask by a new gaseous oxide etch. The method decouples final feature size from lithography and from the RIE resist trim/oxide mask open processes. Logic blocks with two separately controlled gate lengths and dielectric thicknesses are embedded on chip. COR control has achieved final size sprads of 1 to 2 nm using measurements from either the factory CDSEM or from a scatterometer integrated on the process tool.


Proceedings of SPIE | 2008

32 NM LOGIC PATTERNING OPTIONS WITH IMMERSION LITHOGRAPHY

Kafai Lai; Sean D. Burns; Scott Halle; L. Zhuang; Matthew E. Colburn; S. Allen; C. P. Babcock; Z. Baum; Martin Burkhardt; Vito Dai; Derren Dunn; E. Geiss; Henning Haffner; Geng Han; Peggy Lawson; Scott M. Mansfield; Jason Meiring; Bradley Morgenfeld; Cyrus E. Tabery; Yi Zou; Chandrasekhar Sarma; Len Y. Tsou; W. Yan; Haoren Zhuang; Dario Gil; David R. Medeiros

The semiconductor industry faces a lithographic scaling limit as the industry completes the transition to 1.35 NA immersion lithography. Both high-index immersion lithography and EUV lithography are facing technical challenges and commercial timing issues. Consequently, the industry has focused on enabling double patterning technology (DPT) as a means to circumvent the limitations of Rayleigh scaling. Here, the IBM development alliance demonstrate a series of double patterning solutions that enable scaling of logic constructs by decoupling the pattern spatially through mask design or temporally through innovative processes. These techniques have been successfully employed for early 32nm node development using 45nm generation tooling. Four different double patterning techniques were implemented. The first process illustrates local RET optimization through the use of a split reticle design. In this approach, a layout is decomposed into a series of regions with similar imaging properties and the illumination conditions for each are independently optimized. These regions are then printed separately into the same resist film in a multiple exposure process. The result is a singly developed pattern that could not be printed with a single illumination-mask combination. The second approach addresses 2D imaging with particular focus on both line-end dimension and linewidth control [1]. A double exposure-double etch (DE2) approach is used in conjunction with a pitch-filling sacrificial feature strategy. The third double exposure process, optimized for via patterns also utilizes DE2. In this method, a design is split between two separate masks such that the minimum pitch between any two vias is larger than the minimum metal pitch. This allows for final structures with vias at pitches beyond the capability of a single exposure. In the fourth method,, dark field double dipole lithography (DDL) has been successfully applied to BEOL metal structures and has been shown to be overlay tolerant [6]. Collectively, the double patterning solutions developed for early learning activities at 32nm can be extended to 22nm applications.


Design and process integration for microelectronic manufacturing. Conference | 2006

Meeting critical gate linewidth control needs at the 65 nm node

Arpan P. Mahorowala; Scott Halle; Allen H. Gabor; William Chu; Alexandra Barberet; Donald J. Samuels; Amr Abdo; Len Y. Tsou; Wendy Yan; Seiji Iseda; Kaushal S. Patel; Bachir Dirahoui; Asuka Nomura; Ishtiaq Ahsan; Faisal Azam; Gary Berg; Andrew Brendler; Jeffrey A. Zimmerman; Tom Faure

With the nominal gate length at the 65 nm node being only 35 nm, controlling the critical dimension (CD) in polysilicon to within a few nanometers is essential to achieve a competitive power-to-performance ratio. Gate linewidths must be controlled, not only at the chip level so that the chip performs as the circuit designers and device engineers had intended, but also at the wafer level so that more chips with the optimum power-to-performance ratio are manufactured. Achieving tight across-chip linewidth variation (ACLV) and chip mean variation (CMV) is possible only if the mask-making, lithography, and etching processes are all controlled to very tight specifications. This paper identifies the various ACLV and CMV components, describes their root causes, and discusses a methodology to quantify them. For example, the site-to-site ACLV component is divided into systematic and random sub-components. The systematic component of the variation is attributed in part to pattern density variation across the field, and variation in exposure dose across the slit. The paper demonstrates our teams success in achieving the tight gate CD tolerances required for 65 nm technology. Certain key challenges faced, and methods employed to overcome them are described. For instance, the use of dose-compensation strategies to correct the small but systematic CD variations measured across the wafer, is described. Finally, the impact of immersion lithography on both ACLV and CMV is briefly discussed.


Proceedings of SPIE | 2008

Double exposure double etch for dense SRAM: a designer's dream

Chandrasekhar Sarma; Allen H. Gabor; Scott Halle; Henning Haffner; Klaus Herold; Len Y. Tsou; Helen Wang; Haoren Zhuang

As SRAM arrays become lithographically more aggressive than random logic, they are more and more determining the lithography processes used. High yielding, low leakage, dense SRAM cells demand fairly aggressive lithographic process conditions. This leads to a borderline process window for logic devices. The tradeoff obtained between process window optimization for random logic gates and dense SRAM is not always straightforward, and sometimes necessitates design rule and layout modifications. By delinking patterning of the logic devices from SRAM, one can optimize the patterning processes for these devices independently. This can be achieved by a special double patterning technique that employs a combination of double exposure and double etch (DE2). In this paper we show how a DE2 patterning process can be employed to pattern dense SRAM cells in the 45nm node on fully integrated wafers, with more than adequate overlap of gate line-end onto active area. We have demonstrated that this process has adequate process window for sustainable manufacturing. For comparison purpose we also demonstrate a single exposure single etch solution to treat such dense SRAM cells. In 45nm node, the dense SRAM cell can also be printed with adequate tolerances and process window with single expose (SE) with optimized OPC. This is confirmed by electrical results on wafer. We conclude that DE2 offers an attractive alternative solution to pattern dense SRAM in 45nm and show such a scheme can be extended to 32nm and beyond. Employing DE2 lets designers migrate to very small tip-to-tip distance in SRAM. The selection of DE2 or SE depends on layout, device performance requirements, integration schemes and cost of ownership.


Proceedings of SPIE | 2009

A new method for post-etch OPC modeling to compensate for underlayer effects from integrated wafers

Chandra Sarma; Amr Abdo; Derren Dunn; Daniel Fischer; Klaus Herold; Scott M. Mansfield; Len Y. Tsou

In this paper, we demonstrate a new methodology for post-etch OPC modeling to compensate for effects of underlayer seen on product wafers. Current resist-only OPC models based on data from flopdown wafers are not always accurate enough to deliver patterning solutions with stringent critical dimension requirements in 45/32nm technology node. Therefore it is necessary to include an etch model into the OPC correction. Both litho and etch model were built using flopdown and integrated wafers to compensate for topography, differential etch due to different underlayer substrate based on local geometry and local loading. The wafer data based on such OPC keyword show significant decrease of critical dimensions offsets of device macros from long poly-line nested structures for gate level. We will compare wafer data from two different OPC model versions built from flopdown and integrated wafer. We will also discuss modeling options in terms of two layer test masks for future technologies.


26th Annual International Symposium on Microlithography | 2001

Characterization of linewidth variation on 248- and 193-nm exposure tools

Allen H. Gabor; Timothy A. Brunner; Jia Chen; Norman Chen; Sadanand V. Deshpande; Richard A. Ferguson; David V. Horak; Steven J. Holmes; Lars W. Liebmann; Scott M. Mansfield; Antoinette F. Molless; Christopher J. Progler; Paul A. Rabidoux; Deborah Ryan; Peter Talvi; Len Y. Tsou; Ben R. Vampatella; Alfred K. K. Wong; Qingyun Yang; Chienfan Yu

The line-width variation of a 193 nm lithographic process utilizing a 0.60 NA scanner and a binary reticle is compared to that of a 248 nm lithographic processes utilizing a 0.68 NA scanner and a variety of reticle technologies. These include binary, attenuated PSM with assist features and alternating PSM reticles. Despite the fact that the 193 nm tool has a lower NA and that the data was generated using a binary reticle, the 193 nm lithographic process allows for the line-width values to be pushed lower than previously achieved with 248 nm lithographic processes. The 3-sigma values from 4000 electrical line-width measurements per wafer (160 measurements per 25*25 mm field, 25 fields per wafer) were calculated for different mask features. The 193 nm process was capable of reaching line-widths needed for future generations of advance logic chips. Compared to the 193 nm process utilizing a binary reticle, only the 248 nm processes utilizing either an attenuated PSM with assist features or an alternating PSM reticle had similarly low line-width variation. The 248 nm processes utilizing a binary reticle had higher line-width variation even at larger poly gate conductor line-widths.


Archive | 2001

Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching

Jeffrey J. Brown; Sadanand V. Deshpande; David V. Horak; Maheswaran Surendra; Len Y. Tsou; Qingyun Yang; Chienfan Yu; Y. Zhang


Archive | 2002

Method of making sub-lithographic features

Sadanand V. Deshpande; Toshiharu Furukawa; David V. Horak; Wesley C. Natzle; Akihisa Sekiguchi; Len Y. Tsou; Qingyun Yang


Archive | 2001

Vapor phase etch trim structure with top etch blocking layer

Frederick William Buehrer; Derek Chen; William Chu; Scott W. Crowder; Sadanand V. Deshpande; David V. Horak; Wesley C. Natzle; Hung Y. Ng; Len Y. Tsou; Chienfan Yu


Archive | 2001

Fabrication of notched gates by passivating partially etched gate sidewalls and then using an isotropic etch

Victor Ku; Maheswaran Surendra; Len Y. Tsou; Y. Zhang

Researchain Logo
Decentralizing Knowledge