Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Niladri Narayan Mojumder is active.

Publication


Featured researches published by Niladri Narayan Mojumder.


symposium on vlsi circuits | 2015

Holistic technology optimization and key enablers for 7nm mobile SoC

Seung-Chul Song; Jeffrey Junhao Xu; Niladri Narayan Mojumder; Kern Rim; Da Yang; Jerry Bao; John Jianhong Zhu; Joseph Wang; Mustafa Badaroglu; Vladimir Machkaoutsan; P. Narayanasetti; B. Bucki; J. Fischer; Geoffrey Yeap

We systematically investigated the impact of R and C scaling to 7nm node (N7) by accounting for FEOL and BEOL holistically. Speed-power performance of plainly scaled N7 turns out to be degraded compared to previous node. BEOL wire resistance (R<sub>wire</sub>) multiplied by logic gate input pin cap (C<sub>pin</sub>), R<sub>wire</sub>×C<sub>pin</sub>, is identified as a major limiter of performance and power at N7. Reducing C<sub>pin</sub> is crucial to mitigate abruptly rising BEOL R<sub>wire</sub> effect. Depopulation of fin is one of most effective methods to reduce C<sub>pin</sub>, and scale the logic gate area. Air Spacer (AS) on transistor sidewall is proposed to further reduce C<sub>pin</sub>, whose benefit is enhanced by reduction of other C<sub>pin</sub> components. Careful choice of routing metal stack ameliorates adverse effect of R<sub>wire</sub>. Wrap-Around-Contact (WAC) over Source and Drain of scaled fin pitch (P<sub>fin</sub>) is needed to reduce transistor resistance (R<sub>tr</sub>). Fin depopulation with other cost effective process innovations significantly improve Power-Performance-Area-Cost (PPAC) of N7, enabling continued scaling of mobile System on a Chip.


symposium on vlsi circuits | 2015

Transistor-interconnect mobile system-on-chip co-design method for holistic battery energy minimization

Niladri Narayan Mojumder; Seung-Chul Song; Kern Rim; Jeffrey Junhao Xu; Joseph Wang; John Jianhong Zhu; M. Vratonjic; Ken Lin; Martin Saint-Laurent; Paul Bassett; Geoffrey Yeap

We present, for the first time, a holistic data-path driven transistor-interconnect co-optimization method, which systematically isolates the logic-gate and interconnect-wire dominated data-paths in block-level delay-bins (i.e., sub-binning of delay based bins) to significantly improve accuracy of static and dynamic power estimation. It captures the critical interdependence of transistor architecture (FEOL) including local interconnect, and BEOL metal stack optimization to achieve holistic 10nm (N10) technology optimization at target speeds. Using the proposed method, we drive >2.5x Performance/Watt (PpW) improvement for N10 FinFET SOC design over 14nm (N14). Even with ∼3x higher wire resistance of min metal width, the PpW @target-speed for N10 improves >2.5x over N14 with proper design of metal/via stack, transistor Vt and fin-profile as well as standard-cell architecture. Reducing active fin-count and routing distance between standard-cells is a critical design knob for N10 mobile SOC enablement. The proposed methodology enables smartphone-usage (days-of-use) based technology optimization, driving longer battery-life in mobile SOCs, keeping process cost and complexity at minimum.


Archive | 2016

Static random access memory (SRAM) bit cells with wordline landing pads split across boundary edges of the SRAM bit cells

Niladri Narayan Mojumder; Stanley Seungchul Song; Zhongze Wang; Kern Rim; Choh fei Yeap


Archive | 2017

STATIC RANDOM ACCESS MEMORY (SRAM) BIT CELLS WITH WORDLINES ON SEPARATE METAL LAYERS FOR INCREASED PERFORMANCE, AND RELATED METHODS

Niladri Narayan Mojumder; Stanley Seungchul Song; Zhongze Wang; Kern Rim; Choh fei Yeap


Archive | 2015

Voltage scaling for holistic energy management

Niladri Narayan Mojumder; Stanley Seungchul Song; Kern Rim; Choh fei Yeap


Archive | 2016

Integrated circuit devices and methods

Jeffrey Junhao Xu; Junjing Bao; John Jianhong Zhu; Stanley Seungchul Song; Niladri Narayan Mojumder; Choh fei Yeap


Archive | 2015

High density static random access memory array having advanced metal patterning

Niladri Narayan Mojumder; Stanley Seungchul Song; Zhongze Wang; Choh fei Yeap


symposium on vlsi technology | 2014

Novel Critical Path Aware transistor optimization for mobile SoC device-circuit co-design

Niladri Narayan Mojumder; Seung-Chul Song; Joseph Wang; Ken Lin; Ken Rim; Jeff Xu; Geoffrey Yeap


Archive | 2014

Heterogeneous channel material integration into wafer

Stanley Seungchul Song; Choh fei Yeap; Zhongze Wang; Niladri Narayan Mojumder


Archive | 2014

SHARED GLOBAL READ AND WRITE WORD LINES

Niladri Narayan Mojumder; Stanley Seungchul Song; Zhongze Wang; Ping Liu; Kern Rim; Choh fei Yeap

Collaboration


Dive into the Niladri Narayan Mojumder's collaboration.

Researchain Logo
Decentralizing Knowledge