Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ruzhi Zhang is active.

Publication


Featured researches published by Ruzhi Zhang.


Proceedings of SPIE | 2008

Etching spin-on trilayer masks

David J. Abdallah; Shinji Miyazaki; Aritaka Hishida; Allen Timko; Douglas Mckenzie; Dalil Rahman; Woo-Kyu Kim; Lyudmila Pylneva; Hengpeng Wu; Ruzhi Zhang; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel

Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.


Proceedings of SPIE | 2009

Reworkable Spin-on Trilayer Materials: Optimization of Rework Process and Solutions for Manufacturability

Ruzhi Zhang; Allen Timko; John Zook; Yayi Wei; Lyudmila Pylneva; Yi Yi; Chenghong Li; Hengpeng Wu; Dalil Rahman; Douglas Mckenzie; Clement Anyadiegwu; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; Ron Bradbury; Timothy Lee

Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many different behaviors were observed in comparison to conventional BARC. Lithographic performance, stability, and reworkability were among the most challenging issues for trilayer scheme. Despite of the rapid improvement in lithographic performance and stability of trilayer materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry remained a challenging problem for manufacturability. The dual function requirement of reflection control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials. Si-BARC containing both organic moiety and inorganic backbone were extensively studied and demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the revisit of different wet chemistries and process adjustment is essential to achieve desirable results. In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands subtle rework differentiation by wet chemistry from a chemistry point of view. In our development, we strived to identify rework solutions for trilayer materials in both front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The optimization of solution mixture ratios and processing conditions was systematically studied. Thorough defect inspection after rework was performed to ensure the readiness for manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were carried out and excellent results are reported.


Proceedings of SPIE | 2007

Spin-on trilayer approaches to high NA 193nm lithography

David J. Abdallah; Douglas Mckenzie; Allen Timko; Alberto D. Dioses; Frank Houlihan; Dalil Rahman; Shinji Miyazaki; Ruzhi Zhang; Woo-Kyu Kim; Hengpeng Wu; Lyudmila Pylneva; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; John J. Biafore

New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist, should be stacked with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Spin-on trilayer scheme: enabling materials for extension of ArF immersion lithography to 32nm node and beyond

Ruzhi Zhang; Allen Timko; Lyudmila Pylneva; Jennifer Loch; Hengpeng Wu; David J. Abdallah; Richard Collett; Yayi Wei; Dalil Rahman; Douglas Mckenzie; Ping-Hung Lu; Mark Neisser

Trilayer stacks with alternating etch selectivity were developed and extensively investigated for high NA immersion lithography at 32nm node and beyond. This paper discusses the fundamental aspects of the Si-containing BARC (Si-BARC) materials with ultra-high silicon content and carbon-rich underlayers that we developed. Designing of materials at a molecular level is presented. It was demonstrated that this fundamental understanding assisted in achieving satisfactory shelf life and excellent coating defect results. Prolith® simulations using trilayer stacks showed superior reflectivity control for hyper-NA immersion lithography. The impact of high incident angles on substrate reflectivity was analyzed and this paper demonstrated that trilayer scheme provides wider process windows and is more tolerant to topography than conventional single layer BARC. Extensive resist compatibility investigation was conducted and the root causes for poor lithography results were investigated. Excellent 45nm dense lines performance employing the spin-on trilayer stack on a 1.2 NA immersion scanner is reported. In addition, pattern transfers were successfully carried out and the Si-BARC with high silicon content demonstrated outstanding masking property. In comparison to the theoretical %Si values, better correlation with etch selectivity was observed with experimental %Si. Furthermore, this paper addresses the wet rework of trilayer materials and results using Piranha rework are presented. Clean 12in wafers were obtained after reworking trilayer stacks, as evidenced by defect analysis.


Archive | 2008

Antireflective Coating Composition Comprising Fused Aromatic Rings

Francis M. Houlihan; David J. Abdallah; M. Dalil Rahman; Douglas Mckenzie; Ruzhi Zhang; Allen Timko; Woo-Kyu Kim; Ping-Hung Lu


Archive | 2007

Silicone coating composition

Hengpeng Wu; Woo-Kyu Kim; Hong Zhuang; Ping-Hung Lu; Mark Neisser; David J. Abdallah; Ruzhi Zhang


Archive | 2007

Antireflective Coating Composition Based on Silicon Polymer

David J. Abdallah; Ruzhi Zhang


Archive | 2007

Antireflective Coating Compositions Comprising Siloxane Polymer

Ruzhi Zhang; Mark Neisser; Woo-Kyu Kim; David J. Abdallah; Francis M. Houlihan; Ping-Hung Lu; Hong Zhuang


Archive | 2006

High silicon-content thin film thermosets

David J. Abdallah; Ruzhi Zhang


Archive | 2009

Spin On Organic Antireflective Coating Composition Comprising Polymer with Fused Aromatic Rings

M. Dalil Rahman; Douglas Mckenzie; Guanyang Lin; Jianhui Shan; Ruzhi Zhang; Mark Neisser

Collaboration


Dive into the Ruzhi Zhang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ping-Hung Lu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Mark Neisser

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Woo-Kyu Kim

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Allen Timko

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Dalil Rahman

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge