Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seok-Hwan Oh is active.

Publication


Featured researches published by Seok-Hwan Oh.


Soft Matter | 2010

Block copolymer multiple patterning integrated with conventional ArF lithography

Seung Hak Park; Dong Ok Shin; Bong Hoon Kim; Dong Ki Yoon; Kyoung-seon Kim; Si Yong Lee; Seok-Hwan Oh; Seong-Woon Choi; Sang Chul Jeon; Sang Ouk Kim

We present block copolymer multiple patterning as an efficient and truly scalable nanolithography for sub-20 nm scale patterning, synergistically integrated with conventional ArF lithography. The directed assembly of block copolymers on chemically patterned substrates prepared by ArF lithography generated linear vertical cylinder arrays with a 20 to 30 nm diameter, enhancing the pattern density of the underlying chemical patterns by a factor of two or three. This self-assembled resolution enhancement technique affords a straightforward route to highly ordered sub-20 nm scale features via conventional lithography.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Successful application of angular scatterometry to process control in sub-100-nm DRAM device

Jin-ah Kim; Seong-Jin Kim; Soo-bok Chin; Seok-Hwan Oh; Doo-Hoon Goo; Suk-joo Lee; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han; Joo-Tae Moon; Christopher J. Raymond; Michael E. Littau; Byungjoo James Youn; Chang-Jin Sohn

As DRAM (Dynamic Random Access Memory) device continuously decreases in chip size, an increased speed and more accurate metrology technique is needed to measure CD (critical dimension), film thickness and vertical profile. Scatterometry is an optical metrology technique based on the analysis of scattered (or diffracted) light from periodic line and space grating and uses 2θ angular method (ACCENT Optical Technologies CDS-200). When a light source is irradiated into the periodic pattern, the scattered intensity signal of zero-th order as a function of incident angle is measured. By analyzing these scattered signals, various parameters of the periodic pattern such as CD, vertical profile, mapping of substrate structure, film thickness and sidewall angle can be determined. Advantages of scatterometry are that drastic decreased measuring time and acquirement of CD, vertical profile, film thickness and sidewall angle by just one measurement. In this paper we will discuss various applications of scatterometry to sub-100nm DRAM structures of straight line and space and curved line and space patterns. Details of the correlation with CD-SEM (Scanning Electron Microscope) of standard metrology tool and repeatability of measured CD values will be discussed. As diverse applications, results of in-field, in-wafer and wafer-to-wafer CD monitoring, STI (Shallow Trench Isolation) depth monitoring and matching of vertical profile with V-SEM (Vertical SEM) will be also presented.


Advances in Resist Technology and Processing XXI | 2004

Comprehensive analysis of sources of total CD variation in ArF resist perspective

Hyun-woo Kim; Hyung-Rae Lee; Kyung-Mee Kim; Shi Yong Lee; Bong-Cheol Kim; Seok-Hwan Oh; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han

ArF lithography has been successfully implemented for the development of sub-90nm DRAM devices. Line width control for the ArF lithography is becoming increasingly challenging as design rules shrink. Many works have been performed on the mask, exposure tools, and tracks to obtain better critical dimension (CD) uniformity, however in-field uniformity, in-wafer uniformity, and wafer-to-wafer uniformity from resist itself was not considered thoroughly. In this experiment, resist parameters that contributes to line width variation were considered in resist perspective. For the in-field uniformity, mask CD uniformity is very important. However, the mask error enhancement factor (MEEF) was different ranging from 3.27 to 5.12 depending on the resists in the k1 0.35 processes even though the screened resists met all the required resolution, depth of focus (DOF), exposure latitude (EL), line edge roughness (LER), and profile. For the resists having good MEEF, the in-filed uniformities of the critical layers were highly improved. The PEB sensitivities of the screened resists were evaluated again in terms of post exposure bake (PEB) sensitivity, which were quite higher than those of KrF resists. They ranged from 4.0 to 11.3 nm/°C. In-wafer uniformity was evaluated and compared using the resists having different PEB sensitivity. The resist with better PEB sensitivity showed better result in in-wafer uniformity. Finally, the wafer-to-wafer uniformities of the resists were evaluated. There was different delay after exposure depending on the sequence of the loaded wafers because it was not easy to control the delay time at the interface of a scanner and a track. The CD increased depending on the sequence, and it coincided well with the delay time of the wafers after exposure. The wafer-to-wafer CD variations were improved using the resists having strong resistance to the delay.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Improved overlay measurement of CMP processed layers

Jeongho Yeo; Jeong-Lim Nam; Seok-Hwan Oh; Joo-Tae Moon; Young-Bum Koh; Nigel P. Smith; Andrew M.C. Smout

We report the results of an investigation into the quality of overlay data obtained from a chemical-mechanical polish (CMP) process. The limiting step height for standard bright field optical imaging is examined and found to be less than 200 angstrom. Tool repeatability improvements are demonstrated using a mixture of imaging system modifications and image processing techniques. Using these it is possible to achieve very repeatable data. Good tool precision is insufficient evidence of good data quality as the process can distort the target so that it does not accurately measure the stepper overlay error. We report an examination of data quality obtained using different target designs and both with and without an additional etch- back step to enhance the contrast of the image being measured. Several different techniques have been applied to determine whether the data is accurate. We conclude that bar and frame type targets give much more accurate results than traditional box styles. In addition the tool performance is also improved by using the same target designs. With this choice of target design accurate data is obtained and meaningful correction of stepper errors becomes possible.


Metrology, inspection, and process control for microlothoggraphy. Conference | 2001

Wafer-induced reading error in metal sputtering process

Dae-Joung Kim; Seok-Hwan Oh; Gi-Sung Yeo; Yong-Guk Bae; Jaehwan Kim; Young-Hee Kim

For higher density devices electric performances have been focused more than the others. In the case of metal sputtering process some of machine makes local asymmetric deposition across the wafer. In this study, a couple of overlay reading errors which comes from asymmetric metal deposition has been studied in terms of photo process. As a result, scaling error could be reduced down to a certain amount with the optimization of overlay reading marks. However it will not be cleared no matter what kinds of mark are used as long as overlay marks are asymmetry. A symmetric sputtering should be the only way to figure out this problem. In order to make total product, related processes have to be concerned as well.


Optical Microlithography X | 1997

Pattern deformation induced from intensity-unbalanced off-axis illumination

Jin-Ha Kim; Seok-Hwan Oh; Dong-Seon Lee; Jeongho Yeo; Yong Hun Yu; Jeong-Lim Nam

Currently it is very popular to use off-axis illumination technique for higher resolution with wider depth of focus. However there are several problems in the technique, one of which is deterioration of image quality induced by the non- uniform effective source distribution. If the intensity distribution on the illumination aperture lacks of spatial symmetry, each diffraction order beam impinging on the wafer surface has angularly asymmetric distribution. This makes the optical system have pattern size dependent telecentricity error. For a simple line or grouped lines it gives rise to only the pattern displacement with defocus which can hardly be detected unless there are any reference. But the periodic island type patterns which have discrete features and multiple pitch components in one direction can be bent and deformed asymmetrically with defocus. Asymmetric imaging for island type patterns gives rise to also the pattern CD asymmetry with defocus. We present schematic explanation of the effects of non-uniform effective source and the simulation result. We also investigated the phenomena in a high density DRAM cell active layer of 460 nm minimum pitch and characterized it by various approach.


Proceedings of SPIE | 2011

Reduction of SEM noise and extended application to prediction of CD uniformity and its experimental validation

Hoyeon Kim; Chan Hwang; Seok-Hwan Oh; Jeongho Yeo; Young hee Kim

As the design rule of Integrated Circuits(IC) becomes smaller, the precise measurement of Critical Dimension (CD) of features and minimization of deviation in CD measured becomes a vital issue. In this paper, a simple frequency analysis method to extract the noise from SEM images was used to evaluate the contribution of SEM noise in CD Uniformity. Multiple SEM images of simple Line and Space (L/S) patterns were analyzed and a model of frequency profile (Power Spectrum Density (PSD) model) was made using an offline analyzing tool based on Matlab®. From this profile, white noise and 1/f profile were separated. Noises are eliminated to generate a noise reduced PSD profile to make CD results. The contribution of white noise on CD measurement can be assessed using Line Width Roughness (LWR) measurement. Furthermore, CD uniformity can be also predicted from the model. This prediction is based on an assumption that CD uniformity is equal to LWR if the inspection area is extended to infinity and appropriate sampling method is applied. The results showed that the contribution of white noise on LWR can be up to around 70% (in power) without any noise reduction measures (sum line averaging) after imaging in photo resist image. For experimental validation, CD uniformity is predicted from the model for different measurement conditions and compared with real measurement. For a result, CD uniformity prediction (3sigma) from the model shows within 20% in accuracy with real CD uniformity value measured from the photo resist image.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

EUV sensitive photo-acid generator sans chromophore

K. Subramanya Mayya; Yool Kang; Takahiro Yasue; Seok-Hwan Oh; Seong-Woon Choi; Chan-Hoon Park

Recent advances in EUVL lithography is mainly centered on improving the RLS trade-off by employing new resist platforms, bulkier PAGs, EUV sensitizers etc. Among the several new kinds of PAGs proposed till date, the focus of development was mainly on the acid strength, compatibility with resin etc., whilst always retaining the mono, Di or tri phenyl chromophore of the PAG. Herein we report on the use of chromophore-less PAG for the patterning of EUVL resists. Resist performance using model acrylate and PHS based resist was studied. The patterned resists were characterized using SEM. Thermal stability of the PAG was compared with model chromophore containing PAG.


Proceedings of SPIE | 2009

Advanced Immersion Contact Hole Patterning for sub 40nm Memory Applications - A Fundamental Resist Study

Yun-Kyeong Jang; Jin-Young Yoon; Shi-yong Lee; Kwang-sub Yoon; Seok-Hwan Oh; Seong-Woon Choi; Woo-Sung Han; Seokho Kang; Thomas Penniman; Duk-Soo Kim; Dong Won Chung; Sung-Seo Cho; Cheng Bai Xu; George G. Barclay

In this paper we investigate fundamental resist properties to enhance resolution and focus margin for immersion contact hole patterning. Basic chemistry factors have been used to manipulate the iso-focal region (the region of smallest critical dimension variation through focus) of the photoresist and study the impact on resolution and focus margin for small isolated contact holes. Acid diffusion length is one of the key factors investigated, which can be controlled by polymer, PAG, quencher, bake temperature and bake time. The various criteria investigated for this study were: focus and exposure latitude for dense L/S, dense C/H and semi-dense C/H. The effect of manipulating the acid diffusion of the photoresist on imaging small contact holes was verified using ultra-high NA immersion imaging at 1.35 NA.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Practical requirement for reflectivity control in sub 30nm device using high NA immersion lithography

Yun-Kyeong Jang; So-Ra Han; Hyoung-hee Kim; Jin-Young Yoon; Shi-yong Lee; Kwang-sub Yoon; Seok-Hwan Oh; Seong-Woon Choi; Woo-Sung Han

Reflectivity comparison study of bottom anti reflectivity coating (BARC) was investigated at 30nm node devices with same gate width at different pitch sizes. The goal of this study is to elucidate the practical target of reflectivity for high NA immersion lithography especially focusing on the changes in the CD variation. Using double patterning technology (DPT) and single patterning technology (SPT) patterns in high NA systems, we studied the impact of reflectivity to the lithography performance for various ARC thicknesses. A strong dependence of n, k values (of BARC and substrate) on reflectivity was confirmed by simulation. Standing wave effects were investigated by vertical profiles inspection and changes in lithographic performances. Finally, we investigated the critical dimension uniformity (CDU), and line width roughness (LWR) variations for various reflectivities using hard mask substrates. Our experimental and simulation results clearly show that a 0.1% reflectivity target is highly recommendable for the sub-30 nm device process using high NA immersion lithography.

Collaboration


Dive into the Seok-Hwan Oh's collaboration.

Researchain Logo
Decentralizing Knowledge