Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tab A. Stephens is active.

Publication


Featured researches published by Tab A. Stephens.


international soi conference | 2004

CMOS Vertical Multiple Independent Gate Field Effect Transistor (MIGFET)

Leo Mathew; Y. Du; Aaron Thean; M. Sadd; A. Vandooren; C. Parker; Tab A. Stephens; Rode R. Mora; Raj Rai; M. Zavala; D. Sing; S. Kalpat; J. Hughes; R. Shimer; S. Jallepalli; G.O. Workman; W. Zhang; J.G. Fossum; B.E. White; Bich-Yen Nguyen; J. Mogab

Perfectly self aligned vertical multiple independent gate field effect transistor (MIGFET) CMOS devices have been fabricated. The unique process used to fabricate these devices allow them to be integrated with FinFET devices. Device and circuit simulations have been used to explain the device and explore new applications using this device. A novel application of the MIGFET as a signal mixer has been demonstrated. The undoped channel, very thin body, perfectly matched gates allows charge coupling of the two signals and provide a new family of applications using the MIGFET mixer. Since the process allows integration of regular CMOS double gate devices and MIGFET devices this technology has potential for various digital and analog mixed-signal applications.


international electron devices meeting | 2006

Performance and Variability Comparisons between Multi-Gate FETs and Planar SOI Transistors

A. V-Y Thean; Z-H Shi; Leo Mathew; Tab A. Stephens; H. Desjardin; C. Parker; Ted R. White; M. Stoker; L. Prabhu; R. Garcia; B-Y. Nguyen; S. Murphy; Raj Rai; J. Conner; B.E. White; S. Venkatesan

This paper compares the performance and inter-die variability of doped and undoped channel multiple-gate FETs (MUGFETs) with respect to planar SOI devices. We show that doped-channel FinFETs have equivalent variability to narrow-width planar devices. As such, transitions to FinFETs for narrow-width devices will likely incur minimal variability impact. To match the low variability of wide-width planar devices, conversions to undoped channel FinFETs is necessary. Furthermore, good short-channel control has to be maintained since undoped channel devices exhibit increase sensitivity to Tbody relative to doped channel FinFETs due to enhanced fully-depleted channel electrostatics


international electron devices meeting | 2003

Mixed-signal performance of sub-100nm fully-depleted SOI devices with metal gate, high K (HfO/sub 2/) dielectric and elevated source/drain extensions

Anne Vandooren; Aaron Thean; Y. Du; I. To; J. Hughes; Tab A. Stephens; M. Huang; S. Egley; M. Zavala; K. Sphabmixay; A. Barr; Ted R. White; S. Samavedam; Leo Mathew; J. Schaeffer; Dina H. Triyoso; M. Rossow; D. Roan; D. Pham; Raj Rai; Bich-Yen Nguyen; Bruce E. White; Marius Orlowski; A. Duvallet; T. Dao; J. Mogab

We report for the first time, the digital and analog performance of sub-100nm Fully-Depleted Silicon-On-Insulator (SOI) n and p-MOSFETs using TaSiN gate and HfO/sub 2/ dielectric with elevated Source/Drain (SD) extensions. As CMOS technology continues to scale down, the FDSOI technology offers a potential solution to control short channel effects by reducing the silicon film thickness and a concurrent scaling of the buried oxide thickness. The use of metal gate and thin undoped body offer the additional advantages of 1) suppression of polysilicon depletion effects, 2) elimination of boron penetration, 3) minimizing S/D junction capacitance (Cj), and 4) enhancing transistor matching performance for mixed signal application. High k dielectric is necessary to reduce gate leakage for EOT below 15 to 20/spl Aring/. The intrinsic low-leakage nature of the FDSOI device and its immunity to floating body effect provides much opportunity for ultra-low power digital and analog applications. Physical and electrical analyses of the devices are presented to provide an assessment of the metal gates on high K gate dielectric in combination with fully-depleted device operation in the context of digital and analog circuits.


international electron devices meeting | 2005

Inverted T channel FET (ITFET) - Fabrication and characteristics of vertical-horizontal, thin body, multi-gate, multi-orientation devices, ITFET SRAM bit-cell operation. A novel technology for 45nm and beyond CMOS.

Leo Mathew; M. Sadd; S. Kalpat; M. Zavala; Tab A. Stephens; R. Mora; S. Bagchi; C. Parker; J. Vasek; D. Sing; R. Shimer; L. Prabhu; G.O. Workman; G. Ablen; Z. Shi; J. Saenz; Byoung W. Min; D. Burnett; Bich-Yen Nguyen; J. Mogab; M.M. Chowdhury; W. Zhang; J.G. Fossum

In this paper we demonstrate for the first time a novel CMOS IT-FET (inverted T channel FET) architecture. We demonstrate well functional ITFET SRAM bit-cells. Vertical devices such as FinFET and planar ultra thin body devices have been shown to exhibit good short channel control and proposed for future device scaling. The ITFET is novel device architecture that takes advantage of both vertical and horizontal thin-body devices. A doped channel IT-FET process has been developed and is the focus of this paper. This technology can be scaled beyond 45nm technologies using undoped channels. An ITFET device comprises of an ultra thin body planar horizontal channels and vertical channels in a single device. The devices have multi-gate control around these channels to improve short channel control. A single device has multiple orientations and hence mobility enhancement of both (110) and (100) planes can be used optimally. The devices presented have 15nm planar horizontal thin body and 40nm vertical channels of 100nm height, 17Aring gate dielectric and 50nm gate length. These devices are especially useful in circuits that need ratioing such as in SRAM cells and a well functional SRAM cell is demonstrated


Advances in resist technology and processing. Conference | 2005

A novel contact hole shrink process for the 65-nm-node and beyond

Richard D. Peters; Patrick Montgomery; Cesar Garza; Stanley M. Filipiak; Tab A. Stephens; Dan Babbitt

Patterning of sub-100nm contacts for sub-90-nm-node devices is one of the primary challenges of photolithography today. The challenge involves achieving the desired resolution while maintaining manufacturable process windows. Increases in numerical aperture and reductions in target CDs will continue to shrink process windows and increase mask error factor resulting in larger CD variation. Several techniques such as RELACS, SAFIER, and resist reflow have been developed to improve the resolution of darkfield patterns such as contacts and trenches. These techniques are all post-develop processes applied to the patterned resist. Reflow is a fast process with low cost of ownership, but has two major disadvantages of high temperature sensitivity and large proximity bias. SAFIER and RELACS both have much slower throughput and higher cost of ownership than reflow. SAFIER also is sensitive to temperature and has large proximity bias. In this paper, a novel process is described that reduces the diameter of contact holes in resist up to 25nm without proximity effects. This process uniformly swells the resist film resulting in a shrink of patterned holes or trenches. Results are shown for 248nm and 193nm single layer resists, and a 193nm bilayer resist. This process has the potential to be high throughput with low cost of ownership similar to reflow techniques but without the proximity effects and thermal sensitivity observed with reflow.


Proceedings of SPIE | 2007

Improved dimension and shape metrology with versatile atomic force microscopy

Mark Caldwell; Tianming Bao; John J. Hackenberg; Brian McLain; Omar Munoz; Tab A. Stephens; Victor H. Vartanian

Accurate, precise, and rapid three-dimensional (3D) characterization of patterning processes in integrated circuit development and manufacturing is critical for successful volume production. As process tolerances and circuit geometries shrink with each technology node, the precision, accuracy, and capability requirements for dimension and profile metrology intensify. The present work adopts the scanning probe based technology, 3D atomic force microscopy (AFM), to address current and next-generation critical dimension (CD) metrology needs for device features at a variety of process steps. Fast, direct, and non-destructive 3D profile characterization of patterning processes is a primary benefit of CD AFM metrology. The CD AFM utilizes a deep trench (DT) mode for narrow and deep trenches, and a CD mode for linewidth and sidewall profiling. The 3D capability enables one tool for many applications where conventional scanning electron microscopy (SEM), scatterometry, and stylus profiler tools fall short: Gate etch/resist linewidth and sidewall cross-section profile, etch depth for high aspect ratio via, STI etch depth, 3D analysis for MUGFET multi-gate devices, pitch/CD/sidewall angle (SWA) verification for scatterometry targets, and post-CMP active recess. The AFM is an efficient tool for inline monitoring, rapid process improvement/development, and is a complementary addition to the dimension metrology family.


Archive | 2003

Semiconductor device incorporating a defect controlled strained channel structure and method of making the same

John M. Grant; Tab A. Stephens


Archive | 2006

Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility

Mehul D. Shroff; Paul A. Grudowski; Mark D. Hall; Tab A. Stephens


Archive | 2003

Semiconductor structure with different lattice constant materials and method for forming the same

Chun-Li Liu; Alexander L. Barr; John M. Grant; Bich-Yen Nguyen; Marius K. Orlowski; Tab A. Stephens; Ted R. White; Shawn G. Thomas


Archive | 2005

Process of forming a non-volatile memory cell including a capacitor structure

Leo Mathew; Tab A. Stephens

Collaboration


Dive into the Tab A. Stephens's collaboration.

Top Co-Authors

Avatar

Leo Mathew

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Mark D. Hall

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Rode R. Mora

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge