Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Terry A. Spooner is active.

Publication


Featured researches published by Terry A. Spooner.


international electron devices meeting | 2008

22 nm technology compatible fully functional 0.1 μm 2 6T-SRAM cell

Bala Haran; Arvind Kumar; L. Adam; Josephine B. Chang; Veeraraghavan S. Basker; Sivananda K. Kanakasabapathy; Dave Horak; S. Fan; Jia Chen; J. Faltermeier; Soon-Cheon Seo; M. Burkhardt; S. Burns; S. Halle; Steven J. Holmes; Richard Johnson; E. McLellan; T. Levin; Yu Zhu; J. Kuss; A. Ebert; J. Cummings; Donald F. Canaperi; S. Paparao; John C. Arnold; T. Sparks; C. S. Koay; T. Kanarsky; Stefan Schmitz; Karen Petrillo

We demonstrate 22 nm node technology compatible, fully functional 0.1 mum2 6T-SRAM cell using high-NA immersion lithography and state-of-the-art 300 mm tooling. The cell exhibits a static noise margin (SNM) of 220 mV at Vdd=0.9 V. We also present a 0.09 mum2 cell with SNM of 160 mV at Vdd=0.9 V demonstrating the scalability of the design with the same layout. This is the worlds smallest 6T-SRAM cell. Key enablers include band edge high-kappa metal gate stacks, transistors with 25 nm gate lengths, thin spacers, novel co-implants, advanced activation techniques, extremely thin silicide, and damascene copper contacts.


international interconnect technology conference | 2006

Physical, Electrical, and Reliability Characterization of Ru for Cu Interconnects

Chih-Chao Yang; Terry A. Spooner; Shom Ponoth; Kaushik Chanda; Andrew H. Simon; Christian Lavoie; Michael Lane; C.-K. Hu; E. Liniger; Lynne M. Gignac; Thomas M. Shaw; S. Cohen; F. McFeely; Daniel C. Edelstein

Thin film characterization, electrical performance, and preliminary reliability of physical vapor-deposited (PVD) TaN/chemical vapor-deposited (CVD) Ru bilayer were carried out to evaluate its feasibility as a liner layer for back-end of line (BEOL) Cu-low k integration. Adhesion and barrier strength were studied using 4-point bend, X-ray diffraction (XRD), and triangular voltage sweep (TVS) techniques. Electrical yields and line/via resistances were measured at both single and dual damascene levels, with PVD TaN/Ta liner layer as a baseline control. Reliability studies included electromigration (EM) and current-voltage (I-V) breakdown tests


Journal of The Electrochemical Society | 2008

Conduction Mechanisms of Ta/Porous SiCOH Films under Electrical Bias

Ya Ou; Pei-I Wang; Ming He; T.-M. Lu; Pak K. Leung; Terry A. Spooner

In the present study, the electrical characteristics of metal-insulator-semiconductor capacitors with Cu and Ta electrodes on porous SiCOH dielectric subjected to bias-temperature stress (BTS) are investigated. The capacitor with Cu electrode exhibits stable capacitance-voltage (C-V) characteristics after being subjected to BTS of 0.5 MV/cm at 200°C, while Ta ions readily drift into porous SiCOH under the BTS of 0.5 MV/cm and a lower temperature at 150°C, as indicated by the observation of a larger flatband voltage shift during C-V sweep afterward. The leakage behavior of porous SiCOH is investigated using a voltage ramp method after the capacitors are subjected to BTS to study the conduction mechanism associated with the metal-ion drift into the dielectric. It appears that the leakage of capacitors with Ta electrodes starts to increase after BTS and falls into the Poole-Frenkel conduction regime, indicating that Ta ions drift into porous low-k under BTS and subsequently act as electron traps. The leakage of capacitors with Cu electrodes, however, retains almost the same characteristics as those before BTS, suggesting that the increase of leakage for capacitors with Ta electrodes is induced by the drifted Ta ions instead of the degradation of dielectric material.


international interconnect technology conference | 2010

CVD Co and its application to Cu damascene interconnections

Takeshi Nogami; J. Maniscalco; Anita Madan; Philip L. Flaitz; P. DeHaven; Christopher Parks; Leo Tai; B. St. Lawrence; R. Davis; Richard J. Murphy; Thomas M. Shaw; S. Cohen; C.-K. Hu; Cyril Cabral; Sunny Chiang; J. Kelly; M. Zaitz; J. Schmatz; S. Choi; Kazumichi Tsumura; Christopher J. Penny; H.-C. Chen; Donald F. Canaperi; Tuan Vo; F. Ito; Oscar van der Straten; Andrew H. Simon; S-H. Rhee; B-Y. Kim; T. Bolom

Fundamental material interactions as pertinent to nano-scale copper interconnects were studied for CVD Co with a variety of micro-analytical techniques. Native Co oxide grew rapidly within a few hours (XPS). Incorporation of oxygen and carbon in the CVD Co films (by AES and SIMS) depended on underlying materials, such as Ta, TaN, or Ru. Copper film texture (by XRD) and agglomeration resistance (by AFM) showed correlations with amounts of in-film oxygen/carbon. Cobalt diffused through copper at normal processing temperatures (by SIMS). CVD Co demonstrated diffusion barrier performance to Cu (by Triangular Voltage Sweep, TVS), but not to O2. CVD Co was applied to 32 nm/22 nm damascene Cu interconnect fabrication in a scheme defined by the material studies. Lower post-CMP defect density and longer electromigration lifetimes were obtained.


international electron devices meeting | 2006

A 45 nm CMOS node Cu/Low-k/ Ultra Low-k PECVD SiCOH (k=2.4) BEOL Technology

S. Sankaran; S. Arai; R. Augur; M. Beck; G. Biery; T. Bolom; G. Bonilla; O. Bravo; K. Chanda; M. Chae; F. Chen; L. Clevenger; S. Cohen; A. Cowley; P. Davis; J. Demarest; J. P. Doyle; Christos D. Dimitrakopoulos; L. Economikos; Daniel C. Edelstein; M. Farooq; R. Filippi; J. Fitzsimmons; N. Fuller; S. M. Gates; S. Greco; A. Grill; S. Grunow; R. Hannon; K. Ida

A high performance 45nm BEOL technology with proven reliability is presented. This BEOL has a hierarchical architecture with up to 10 wiring levels with 5 in PECVD SiCOH (k=3.0), and 3 in a newly-developed advanced PECVD ultralow-k (ULK) porous SiCOH (k=2.4). Led by extensive circuit performance estimates, the detrimental impact of scaling on BEOL parasitics was overcome by strategic introduction of ULK at 2times wiring levels, and increased 1times wire aspect ratios in low-k, both done without compromising reliability. This design point maximizes system performance without adding significant risk, cost or complexity. The new ULK SiCOH film offers superior integration performance and mechanical properties at the expected k-value. The dual damascene scheme (non-poisoning, homogeneous ILD, no trench etch-stop or CMP polish-stop layers) was extended from prior generations for all wiring levels. Reliability of the 45 nm-scaled Cu wiring in both low-k and ULK levels are proven to meet the criteria of prior generations. Fundamental solutions are implemented which enable successful ULK chip-package interaction (CPI) reliability, including in the most aggressive organic flip-chip FCPBGA packages. This represents the first successful implementation of Cu/ULK BEOL to meet technology reliability qualification criteria


international interconnect technology conference | 2014

Interconnect performance and scaling strategy at the 5 nm Node

James Chen; Theodorus E. Standaert; Emre Alptekin; Terry A. Spooner; Vamsi Paruchuri

In this paper, major challenges for 5 nm node BEOL performance are presented. High wire resistance is a key issue for interconnect delay. Accordingly, we focus on potential wire resistance reduction with various architectures and materials. Copper liner thickness was identified as the major knob for increasing Cu areal percent, as compared to increased line aspect ratio and width. Interconnect delay variability is reviewed and analyzed with respect to various patterning techniques.In this paper, optimization of 1X BEOL wiring level of 7 nm node is presented. We focus on the interconnect delay from 10 nm node to 7 nm node using a single stage driver circuit. The device delay is calculated based on the characteristics of the 10 nm driver circuit. Then the result is compared with a shrunk version of the circuit at the 7 nm dimension. Therefore, the impact of the BEOL on the circuit performance can be determined. The interconnect delay is plotted as a function of wire resistance, via resistance and capacitance. In order to better optimize the BEOL architecture, contour plots of resistance versus capacitance are presented in this paper. The result of this paper is indicating a strong dependency of circuit performance on the wiring length which is a new challenge. Optimization of BEOL architecture therefore requires a new approach which is outlined in this paper. As a result, we would like to bring this to the design communitys attention.


international electron devices meeting | 2010

High reliability 32 nm Cu/ULK BEOL based on PVD CuMn seed, and its extendibility

Takeshi Nogami; T. Bolom; A. Simon; B-Y. Kim; C.-K. Hu; K. Tsumura; Anita Madan; F. Baumann; Y. Wang; P. Flaitz; Christopher Parks; P. DeHaven; R. Davis; M. Zaitz; B. St. Lawrence; Richard J. Murphy; Leo Tai; S. Molis; S-H. Rhee; T. Usui; Cyril Cabral; J. Maniscalco; L. Clevenger; Baozhen Li; C. Christiansen; F. Chen; T. Lee; J. Schmatz; Hosadurga Shobha; F. Ito

A 32 nm BEOL with PVD CuMn seedlayer and conventional PVD-TaN/Ta liner was fully characterized by fundamental, integrated, and reliability methods. CuMn was confirmed to have fundamental advantages over CuAl, such as higher electromigration (EM) reliability for the same Cu line resistance (R). Both low R and high reliability (EM, SM, and TDDB) were achieved. Improved extendibility of CuMn relative to CuAl was also supported by studies of alloy interactions with advanced liner materials Ru and Co, and by enhancement of ultra-thin TaN barrier performance.


international interconnect technology conference | 2016

Experimental study of nanoscale Co damascene BEOL interconnect structures

J. Kelly; James Chen; H. Huang; C.-K. Hu; E. Liniger; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Hosadurga Shobha; Takeshi Nogami; Terry A. Spooner; Elbert E. Huang; Daniel C. Edelstein; Donald F. Canaperi; Vimal Kamineni; S. Siddiqui

We characterize integrated dual damascene Co and Cu BEOL lines and vias, at 10 nm node dimensions. The Co to Cu line resistance ratios for 24 nm and 220 nm wide lines were 2.1 and 3.5, respectively. The Co via resistance was just 1.7 times that of Cu, with the smaller ratio attributed to the barrier layer series via resistance. Electrical continuity of Co via chain structures was good, while some chain-chain shorts and leakage suggests metal residuals from the Co polish process. The Co lines and vias, fabricated using conventional BEOL processes, exhibit good Co fill by TEM, with no visible evidence of Co in the dielectric. The relatively smaller resistance increase for Co vias suggests a potential via resistance benefit, a thinner or less resistive barrier can be employed. Co line resistance will likely not be competitive with Cu until after the next technology node.


international electron devices meeting | 2012

Electromigration extendibility of Cu(Mn) alloy-seed interconnects, and understanding the fundamentals

Takeshi Nogami; Christopher J. Penny; Anita Madan; Christopher Parks; Jing Li; Philip L. Flaitz; Akira Uedono; Sunny Chiang; M. He; Andrew H. Simon; T. Bolom; T. Ryan; F. Ito; C. Christiansen; Leo Tai; C.-K. Hu; Hoon Kim; Xing Zhang; K. Tanwar; S. Choi; F. Baumann; R. Davis; J. Kelly; Richard J. Murphy; S. Molis; J. Rowland; P. DeHaven; Donald F. Canaperi; Terry A. Spooner; Daniel C. Edelstein

Cu(Mn) alloy seed BEOL studies revealed fundamental insights into Mn segregation and EM enhancement. We found a metallic-state Mn-rich Cu layer under the MnOx layer at the Cu/SiCNH cap interface, and correlated this metallic layer with additional EM enhancement. A carbonyl-based CVD-Co liner film consumed Mn, reducing its segregation and EM benefit, suggesting O-free Co liner films are strategic for Cu-alloy seed extendibility.


international interconnect technology conference | 2009

Copper contact metallization for 22 nm and beyond

Soon-Cheon Seo; Chih-Chao Yang; Chun-Chen Yeh; Bala Haran; Dave Horak; Susan Fan; Charles W. Koburger; Donald F. Canaperi; Satyavolu S. Papa Rao; F. Monsieur; Andreas Knorr; Andreas Kerber; Chao-Kun Hu; James Kelly; Tuan Vo; Jason E. Cummings; Matthew Smalleya; Karen Petrillo; Sanjay Mehta; Stefan Schmitz; T. Levin; Dae-Guy Park; James H. Stathis; Terry A. Spooner; Vamsi Paruchuri; Jean E. Wynne; Daniel C. Edelstein; Dale McHerron; Bruce B. Doris

We used Cu contact metallization to solve one of the critical challenges for 22 nm node technology. Cu contact metallization allowed us to demonstrate worlds smallest and fully functional 22 nm node 6T-SRAM [1]. Cu contact metallization was executed using CVD Ru-containing liner. We obtained early reliability data by thermally stressing bulk device. Bulk device parameters such as junction and gate leakage currents and overlap capacitance were stable after BEOL anneal stress. We also demonstrated the extendibility of Cu contact metallization using 15 nm contacts.

Researchain Logo
Decentralizing Knowledge