Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tomoaki Shino is active.

Publication


Featured researches published by Tomoaki Shino.


international electron devices meeting | 2008

Autonomous refresh of floating body cell (FBC)

Takashi Ohsawa; Ryo Fukuda; Tomoki Higashi; Katsuyuki Fujita; F. Matsuoka; Tomoaki Shino; Hironobu Furuhashi; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Yohji Watanabe; Akihiro Nitayama; Tohru Furuyama

Physics of autonomous refresh of FBC is presented. Current input to the floating body by impact ionization and output by charge pumping can balance to make FBC refresh by itself without sense amplifier operation. Thanks to this feature, multiple cells on a BL can be refreshed simultaneously, leading to a drastic reduction of BL charging current compared to the conventional refresh. 600 muA refresh current for 1 G-bit memory is achieved in 32 nm technology node with 4 ms retention time. If gate direct tunneling current is used as output, FBC can realize static RAM without periodical refresh when retaining data.


international electron devices meeting | 2006

Floating Body RAM Technology and its Scalability to 32nm Node and Beyond

Tomoaki Shino; Naoki Kusunoki; Tomoki Higashi; Takashi Ohsawa; Katsuyuki Fujita; Kosuke Hatsuda; Nobuyuki Ikumi; F. Matsuoka; Y. Kajitani; Ryo Fukuda; Yohji Watanabe; Yoshihiro Minami; Atsushi Sakamoto; Jun Nishimura; M. Nakajima; Mutsuo Morikado; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

Technologies and improved performance of the floating body RAM are demonstrated. Reducing SOI thickness to 43nm, a 16Mb chip yield of 68% has been obtained. Device simulation proves that the floating body cell is scalable to the 32nm node keeping signal margin (threshold voltage difference) and data retention time constant


international solid-state circuits conference | 1997

A 0.5 V 200 MHz 1-stage 32 b ALU using a body bias controlled SOI pass-gate logic

Tsuneaki Fuse; Yukihito Oowaki; Takashi Yamada; M. Kamoshida; A. Ohta; Tomoaki Shino; S. Kawanaka; Mamoru Terauchi; T. Yoshida; G. Matsubara; S. Yoshioka; Shigeyoshi Watanabe; M. Yoshimi; Kazuya Ohuchi; S. Manabe

SOI CMOS with gate-body connection (DTMOS) and body bias controlled SOI pass-gate logic (BCSOI pass-gate) take advantage of individually isolated SOI device active area and reduce threshold voltage by controlling each device body bias. Hence, they enjoy higher speed than circuits based on fixed low threshold voltage. The direct body bias control used in previous work suffers from leakage current at supply voltage higher than 0.8V due to drain-body junction leakage. A practical circuit technology that offers the highest speed, lowest operation voltage and stable operation under wide supply voltage demonstrates performance with an ALU macro using this technology.


international electron devices meeting | 2004

Fully-depleted FBC (floating body cell) with enlarged signal window and excellent logic process compatibility

Tomoaki Shino; Tomoki Higashi; Naoki Kusunoki; Katsuyuki Fujita; Takashi Ohsawa; Nobutoshi Aoki; Yoshihiro Minami; Takashi Yamada; Mutsuo Morikado; Hiroomi Nakajima; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

Fully-depleted (FD) floating body cell on 55nm SOI featuring excellent logic process compatibility has been successfully developed. For the first time FD operation is reported through significant signal enlargement by negative substrate bias. Using standard salicide process and FD operation, high-density embedded memory on SOI is achievable.


IEEE Transactions on Electron Devices | 2000

A novel lateral bipolar transistor with 67 GHz f/sub max/ on thin-film SOI for RF analog applications

Hideaki Nii; Takashi Yamada; Kazumi Inoh; Tomoaki Shino; Shigeru Kawanaka; M. Yoshimi; Y. Katsumata

In this paper, a novel lateral bipolar transistor on thin film silicon-on-insulator (SOI) is presented. With a small emitter size of 0.12/spl times/3.0 /spl mu/m/sup 2/, low base resistance of 270 /spl Omega/ due to a novel Co silicided base electrode and low base-collector parasitic capacitances of 1.4 fF due to SOI material, it achieves the highest f/sub max/ of 67 GHz among SOI bipolar transistors. Also, the low emitter-base capacitance of 1.5 fF and the low collector-substrate capacitance of 2.5 fF are realized. The transistor has a simple structure, which is fabricated with simplified processes without any new sophisticated technologies, excluding trench isolation and epitaxial base used in current bipolar transistors. This can lower the fabrication cost of transistors. We have demonstrated the possibility of lateral bipolar transistor on thin film SOI as next-generation device for RF analog applications.


international soi conference | 2008

Scaling scenario of floating body cell (FBC) suppressing V th variation due to random dopant fluctuation

Hironobu Furuhashi; Tomoaki Shino; Takashi Ohsawa; F. Matsuoka; Tomoki Higashi; Yoshihiro Minami; Hiroomi Nakajima; Katsuyuki Fujita; Ryo Fukuda; Takeshi Hamamoto; Akihiro Nitayama

A scaling scenario of fully-depleted floating body cell (FBC) is demonstrated in view of signal margin for stable array functionality. Measurement and numerical simulation reveal that the Vth variation of cell array transistors is mainly attributed to the random dopant fluctuation in channel region. By setting the channel impurity concentration in the order of 1016cm-3 or lower, Gbit array functionality is guaranteed for the 32nm node and further scaled generations.


international electron devices meeting | 2007

FBC's Potential of 6F 2 Single Cell Operation in Multi-Gbit Memories Confirmed by a Newly Developed Method for Measuring Signal Sense Margin

F. Matsuoka; Takashi Ohsawa; Tomoki Higashi; Hironobu Furuhashi; Kosuke Hatsuda; Katsuyuki Fujita; Ryo Fukuda; Nobuyuki Ikumi; Tomoaki Shino; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Akihiro Nitayama; Yohji Watanabe

A 6F2 single cell (one-cell-per-bit) operation of the floating body RAM (FBRAM) is successfully demonstrated for the first time with more than 60% yield of 16Mbit area in a wafer. The signal sense margin (SSM) at actual read conditions is found to well back up the functional results. The parasitic resistance in the source and drain formed under the FBCs spacers can be optimized for making the SSM as large as 8muA at plusmn 4.5sigma without sacrificing the retention time.


international soi conference | 2008

Array architecture of floating body cell (FBC) with quasi-shielded open bit line scheme for sub-40nm node

Katsuyuki Fujita; Takashi Ohsawa; Ryo Fukuda; F. Matsuoka; Tomoki Higashi; Tomoaki Shino; Yohji Watanabe

Cell array architecture for floating body RAM of 35 nm bit line half pitch is described. The quasi-non-destructive-read-out feature of floating body cell contributes to eliminating inter-bit line coupling noise in open bit line architecture without degrading the cycle time of the RAM.


symposium on vlsi technology | 2004

Highly scalable FBC (Floating Body Cell) with 25nm BOX structure for embedded DRAM applications

Tomoaki Shino; I. Higashi; Katsuyuki Fujita; Takashi Ohsawa; Yoshihiro Minami; Takashi Yamada; Mutsuo Morikado; Hiroomi Nakajima; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

A novel FBC with 25nm-thick BOX (buried oxide) structure has been developed. A feature of new FBC is scalability in the case of thinner SOI, which promises embedded DRAM on SOI in future generations. Using 96Kbit array, the pause time distribution of FBC is demonstrated for the first time. Due to simplified structure, pause time variation of new FBC is significantly suppressed compared with conventional FBC.


IEEE Transactions on Electron Devices | 2007

A Floating-Body Cell Fully Compatible With 90-nm CMOS Technology Node for a 128-Mb SOI DRAM and Its Scalability

Takeshi Hamamoto; Yoshihiro Minami; Tomoaki Shino; Naoki Kusunoki; Hiroomi Nakajima; Mutsuo Morikado; Takashi Yamada; Kazumi Inoh; Atsushi Sakamoto; Tomoki Higashi; Katsuyuki Fujita; Kosuke Hatsuda; Takashi Ohsawa; Akihiro Nitayama

A 128-Mb silicon-on-insulator dynamic random access memory with floating-body cell (FBC) has been successfully developed for the first time. Two technologies have been newly implemented, namely: 1) the optimized well structure and 2) Cu wiring. The well design has been optimized both for the array device and the peripheral circuit in order to realize full functionality and good retention characteristics. Cu wiring has been used for the bit line and the source line, which increases the signal of the worst bit in the array and also realizes full compatibility with the standard CMOS process. Scalability of FBC down to 45-nm CMOS technology node has been investigated by a device simulation. The signal and the maximum electric field can be maintained constant with the reduction of the device dimensions and the operation voltage

Collaboration


Dive into the Tomoaki Shino's collaboration.

Researchain Logo
Decentralizing Knowledge