Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yoshihiro Minami is active.

Publication


Featured researches published by Yoshihiro Minami.


international electron devices meeting | 2008

Autonomous refresh of floating body cell (FBC)

Takashi Ohsawa; Ryo Fukuda; Tomoki Higashi; Katsuyuki Fujita; F. Matsuoka; Tomoaki Shino; Hironobu Furuhashi; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Yohji Watanabe; Akihiro Nitayama; Tohru Furuyama

Physics of autonomous refresh of FBC is presented. Current input to the floating body by impact ionization and output by charge pumping can balance to make FBC refresh by itself without sense amplifier operation. Thanks to this feature, multiple cells on a BL can be refreshed simultaneously, leading to a drastic reduction of BL charging current compared to the conventional refresh. 600 muA refresh current for 1 G-bit memory is achieved in 32 nm technology node with 4 ms retention time. If gate direct tunneling current is used as output, FBC can realize static RAM without periodical refresh when retaining data.


international electron devices meeting | 2006

Floating Body RAM Technology and its Scalability to 32nm Node and Beyond

Tomoaki Shino; Naoki Kusunoki; Tomoki Higashi; Takashi Ohsawa; Katsuyuki Fujita; Kosuke Hatsuda; Nobuyuki Ikumi; F. Matsuoka; Y. Kajitani; Ryo Fukuda; Yohji Watanabe; Yoshihiro Minami; Atsushi Sakamoto; Jun Nishimura; M. Nakajima; Mutsuo Morikado; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

Technologies and improved performance of the floating body RAM are demonstrated. Reducing SOI thickness to 43nm, a 16Mb chip yield of 68% has been obtained. Device simulation proves that the floating body cell is scalable to the 32nm node keeping signal margin (threshold voltage difference) and data retention time constant


international electron devices meeting | 2004

Fully-depleted FBC (floating body cell) with enlarged signal window and excellent logic process compatibility

Tomoaki Shino; Tomoki Higashi; Naoki Kusunoki; Katsuyuki Fujita; Takashi Ohsawa; Nobutoshi Aoki; Yoshihiro Minami; Takashi Yamada; Mutsuo Morikado; Hiroomi Nakajima; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

Fully-depleted (FD) floating body cell on 55nm SOI featuring excellent logic process compatibility has been successfully developed. For the first time FD operation is reported through significant signal enlargement by negative substrate bias. Using standard salicide process and FD operation, high-density embedded memory on SOI is achievable.


international solid-state circuits conference | 2009

A 1.6 GB/s DDR2 128 Mb Chain FeRAM With Scalable Octal Bitline and Sensing Schemes

Hidehiro Shiga; Daisaburo Takashima; Shinichiro Shiratake; Katsuhiko Hoya; Tadashi Miyakawa; Ryu Ogiwara; Ryo Fukuda; Ryosuke Takizawa; Kosuke Hatsuda; F. Matsuoka; Yasushi Nagadomi; Daisuke Hashimoto; Hisaaki Nishimura; Takeshi Hioka; Sumiko Doumae; Shoichi Shimizu; Mitsumo Kawano; Toyoki Taguchi; Yohji Watanabe; Shuso Fujii; Tohru Ozaki; Hiroyuki Kanaya; Yoshinori Kumura; Yoshiro Shimojo; Yuki Yamada; Yoshihiro Minami; Susumu Shuto; Koji Yamakawa; Souichi Yamazaki; Iwao Kunishima

An 87.7 mm2 1.6 GB/s 128 Mb chain FeRAM with 130 nm 4-metal CMOS process is demonstrated. In addition to small bitline capacitance inherent to chain FeRAM architecture, three new FeRAM scaling techniques - octal bitline architecture, small parasitic capacitance sensing scheme, and dual metal plateline scheme - reduce bitline capacitance from 100 fF to 60 fF. As a result, a cell signal of ±220 mV is achieved even with the small cell size of 0.252 ¿m2. An 800 Mb/s/pin read/write bandwidth at 400 MHz clock is realized by installing SDRAM compatible DDR2 interface, and performance is verified by simulation. The internal power-line bounce noise due to 400 MHz clock operation is suppressed to less than 50 mV by an event-driven current driver, which supplies several hundreds of mA of current within 2 ns response. The precise timing and voltage controls are achieved by using the data stored in a compact FeRAM-fuse, which consists of extra FeRAM memory cells placed in edge of normal array instead of conventional laser fuse links. This configuration minimizes area penalty to 0.2% without cell signal degradation.


international soi conference | 2008

Scaling scenario of floating body cell (FBC) suppressing V th variation due to random dopant fluctuation

Hironobu Furuhashi; Tomoaki Shino; Takashi Ohsawa; F. Matsuoka; Tomoki Higashi; Yoshihiro Minami; Hiroomi Nakajima; Katsuyuki Fujita; Ryo Fukuda; Takeshi Hamamoto; Akihiro Nitayama

A scaling scenario of fully-depleted floating body cell (FBC) is demonstrated in view of signal margin for stable array functionality. Measurement and numerical simulation reveal that the Vth variation of cell array transistors is mainly attributed to the random dopant fluctuation in channel region. By setting the channel impurity concentration in the order of 1016cm-3 or lower, Gbit array functionality is guaranteed for the 32nm node and further scaled generations.


international electron devices meeting | 2007

FBC's Potential of 6F 2 Single Cell Operation in Multi-Gbit Memories Confirmed by a Newly Developed Method for Measuring Signal Sense Margin

F. Matsuoka; Takashi Ohsawa; Tomoki Higashi; Hironobu Furuhashi; Kosuke Hatsuda; Katsuyuki Fujita; Ryo Fukuda; Nobuyuki Ikumi; Tomoaki Shino; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Akihiro Nitayama; Yohji Watanabe

A 6F2 single cell (one-cell-per-bit) operation of the floating body RAM (FBRAM) is successfully demonstrated for the first time with more than 60% yield of 16Mbit area in a wafer. The signal sense margin (SSM) at actual read conditions is found to well back up the functional results. The parasitic resistance in the source and drain formed under the FBCs spacers can be optimized for making the SSM as large as 8muA at plusmn 4.5sigma without sacrificing the retention time.


symposium on vlsi technology | 2004

Highly scalable FBC (Floating Body Cell) with 25nm BOX structure for embedded DRAM applications

Tomoaki Shino; I. Higashi; Katsuyuki Fujita; Takashi Ohsawa; Yoshihiro Minami; Takashi Yamada; Mutsuo Morikado; Hiroomi Nakajima; Kazumi Inoh; Takeshi Hamamoto; Akihiro Nitayama

A novel FBC with 25nm-thick BOX (buried oxide) structure has been developed. A feature of new FBC is scalability in the case of thinner SOI, which promises embedded DRAM on SOI in future generations. Using 96Kbit array, the pause time distribution of FBC is demonstrated for the first time. Due to simplified structure, pause time variation of new FBC is significantly suppressed compared with conventional FBC.


IEEE Transactions on Electron Devices | 2007

A Floating-Body Cell Fully Compatible With 90-nm CMOS Technology Node for a 128-Mb SOI DRAM and Its Scalability

Takeshi Hamamoto; Yoshihiro Minami; Tomoaki Shino; Naoki Kusunoki; Hiroomi Nakajima; Mutsuo Morikado; Takashi Yamada; Kazumi Inoh; Atsushi Sakamoto; Tomoki Higashi; Katsuyuki Fujita; Kosuke Hatsuda; Takashi Ohsawa; Akihiro Nitayama

A 128-Mb silicon-on-insulator dynamic random access memory with floating-body cell (FBC) has been successfully developed for the first time. Two technologies have been newly implemented, namely: 1) the optimized well structure and 2) Cu wiring. The well design has been optimized both for the array device and the peripheral circuit in order to realize full functionality and good retention characteristics. Cu wiring has been used for the bit line and the source line, which increases the signal of the worst bit in the array and also realizes full compatibility with the standard CMOS process. Scalability of FBC down to 45-nm CMOS technology node has been investigated by a device simulation. The signal and the maximum electric field can be maintained constant with the reduction of the device dimensions and the operation voltage


IEEE Transactions on Electron Devices | 2009

Autonomous Refresh of Floating-Body Cell due to Current Anomaly of Impact Ionization

Takashi Ohsawa; Ryo Fukuda; Tomoki Higashi; Katsuyuki Fujita; F. Matsuoka; Tomoaki Shino; Hironobu Furuhashi; Yoshihiro Minami; Hiroomi Nakajima; Takeshi Hamamoto; Yohji Watanabe; Akihiro Nitayama; Tohru Furuyama

Physics of autonomous refresh is presented, which explains the mechanism of a spontaneous recovery of degraded binary states of the floating-body cell (FBC). Input current to the floating body and output current from the body balance to generate an unstable stationary state that is accompanied by two stable stationary ones. The current anomaly of impact ionization is essential for the instability that brings about the bistability and is realized by positive feedback where impact ionization current input increases as the body voltage increases. Experiments with charge pumping current as output show that the autonomous refresh is possible on a single-cell basis. Necessary conditions for a high-density memory to be autonomously refreshed are derived and assessed for state-of-the-art FBCs. FBC is shown in simulation to become an SRAM cell when the autonomous refresh is applied, which uses gate direct tunneling current as output. This is an SRAM cell that is theoretically expected to have the simplest structure ever reported.


international electron devices meeting | 1989

Ultra-high di/dt 2500 V MOS assisted gate-triggered thyristors (MAGTs) for high repetition excimer laser system

Takashi Shinohe; A. Nakagawa; Yoshihiro Minami; Masaki Atsuta; Y. Kamei; Hiromichi Ohashi

A novel MOS assisted gate-triggered thyristor (MAGT) having high di/dt turn-on characteristics is proposed. It is shown that 40 kA/cm/sup 2// mu s of di/dt can be attained for a turn-on from 1500-V anode voltage, 9090-A/cm/sup 2/ peak anode current, and 0.7- mu s pulse width, with an extremely low turn-on power loss. The transient anode voltage, caused by high di/dt, is less than 100 V, even in the case of 9090 A/cm/sup 2/ for the anode current density. It is concluded that MAGT is a very promising device to replace thyratrons in a high-repetition excimer laser system.<<ETX>>

Collaboration


Dive into the Yoshihiro Minami's collaboration.

Researchain Logo
Decentralizing Knowledge