Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Vladimir B. Fleurov is active.

Publication


Featured researches published by Vladimir B. Fleurov.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Proceedings of SPIE | 2008

XLR 600i: recirculating ring ArF light source for double patterning immersion lithography

Vladimir B. Fleurov; Slava Rokitski; Robert A. Bergstedt; Hong Ye; Kevin O’Brien; Robert N. Jacques; Fedor Trintchouk; Efrain Figueroa; Theodore Cacouris; Daniel J. W. Brown; William N. Partlo

Double patterning (DP) lithography is expected to be deployed at the 32nm node to enable the extension of high NA (≥1.3) scanner systems currently used for 45nm technology. Increasing the light source power is one approach to address the intrinsically lower throughput that DP imposes. Improved energy stability also provides a means to improve throughput by enabling fewer pulses per exposure slit window, which in turn enables the use of higher scanner stage speeds. Current excimer laser light sources for deep UV immersion lithography are operating with powers as high as 60W at 6 kHz repetition rates. In this paper, we describe the introduction of the XLR 600i, a 6 kHz excimer laser that produces 90W power, based on a recirculating ring technology. Improved energy stability is inherent to the ring technology. Key to the successful acceptance of such a higher power, or higher energy laser is the ability to reduce operating costs. For this reason, the recirculating ring technology provides some unique advantages that cannot be realized with conventional excimer lasers today. Longer intrinsic pulse durations that develop in the multi-pass ring architecture reduce the peak power that the optics are subjected to, thereby improving lifetime. The ring architecture also improves beam uniformity that results in a significantly reduced peak energy density, another key factor in preserving optics lifetime within the laser as well as in the scanner. Furthermore, in a drive to reduce operating costs while providing advanced technical capability, the XLR 600i includes an advanced gas control management system that extends the time between gas refills by a factor of ten, offering a significant improvement in productive time. Finally, the XLR 600i provides a novel bandwidth stability control system that reduces variability to provide better CD control, which results in higher wafer yields.


Proceedings of SPIE | 2007

XLR 500i: recirculating ring ArF light source for immersion lithography

Daniel J. W. Brown; P. O'Keeffe; Vladimir B. Fleurov; Rostislav Rokitski; Robert A. Bergstedt; Igor V. Fomenkov; Kevin O'Brien; Nigel R. Farrar; William N. Partlo

As Argon Fluoride (ArF) lithography moves into high volume production, ArF light sources need to meet performance requirements beyond the traditional drivers of power and bandwidth. The first key requirement is a continuous decrease in Cost of Ownership (CoO) where the industry requirement is for reduction in ArF CoO in line with the historical cost reduction demonstrated for Krypton Fluoride (KrF) light sources. A second requirement is improved light source performance stability. As CD control requirements shrink, following the ITRS roadmap, all process parameters which affect CD variation need tighter control. In the case of the light source, these include improved control of bandwidth, pulse energy stability and wavelength. In particular, CD sensitivity to exposure dose has become a serious challenge for device processing and improvements to laser pulse energy stability can contribute to significantly better dose control. To meet these performance challenges Cymer has designed a new dual chamber laser architecture. The Recirculating Ring design requires 10X less energy from the Master Oscillator (MO). This new configuration enables the MO chamber lifetime to reach that of the power amplifier chamber at around 30Bp. In addition, other optical modules in the system such as the line narrowing module experience lower light intensity, ensuring even longer optics lifetime. Furthermore, the Recirculating Ring configuration operates in much stronger saturation. MO energy instabilities are reduced by a factor of 9X when passed through the Ring. The output energy stability exhibits the characteristics of a fully saturated amplifier and pulse energy stability improvement of 1.5X is realized. This performance enables higher throughput scanner operation with enhanced dose control. The Recirculating Ring technology will be introduced on the XLR 500i, Cymers fifth-generation dual chamber-based light source built on the production-proven XLA platform. This paper will describe the design details and performance characteristics of the new laser architecture.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High power low cost drive laser for LPP source

Igor V. Fomenkov; Bjorn A. M. Hansson; N. Böwering; Alex I. Ershov; William N. Partlo; Vladimir B. Fleurov; Oleh V. Khodykin; Alexander N. Bykanov; Curtis L. Rettig; Jerzy R. Hoffman; L Ernesto Vargas; Juan A. Chavez; William F. Marx; David C. Brandt

We report on the approach for a high-power high-beam-quality drive laser system that is used for a laser-produced plasma (LPP) EUV source. Cymer has conducted research on a number of solutions for a multi-kW drive laser system that satisfy high volume production requirements. Types of lasers to be presented include XeF at 351 nm and CO2 at 10.6 micron. We report on a high efficiency XeF amplifier with a 3rd harmonic Nd:YLF master oscillator operated in the 6 to 8 kHz range and a CO2 laser system with Q-switched cavity dumped master oscillator and RF pumped fast axial flow amplifiers operated in the 10 to 100 kHz range. CO2 laser short pulse gain and optical isolation techniques are reported. Optical performance data and design features of the drive laser system are discussed, as well as a path to achieve output power scaling to meet high volume manufacturing (HVM) requirements and beyond. Additionally, the electrical efficiency as a component of cost of operation is presented. Development of a drive laser with sufficient output power, high beam quality, and economical cost of operation is critical to the successful implementation of a laser-produced-plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system with two gas-discharge power amplifiers to produce high output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet HVM requirements. Development of a drive laser for LPP EUV source is a challenging task. It requires multi-kW laser output power with short pulse duration and diffraction limited beam quality. In addition, this system needs to be very reliable and cost-efficient to satisfy industry requirements for high volume integrated circuit manufacturing. Feasibility studies of high power laser solutions that utilize proven laser technologies in high power optical gain modules and deliver required beam properties have been performed and are reported.


Emerging Lithographic Technologies IX | 2005

Development in gas-discharge drive lasers for LPP EUV sources

Vladimir B. Fleurov; P. C. Oh; Thomas D. Steiger; I. F. Fomenkov; William N. Partlo

Development of a drive laser with sufficient output power, high beam quality, and economical cost of consumables is critical to the successful implementation of a laser-produced plasma (LPP) EUV source for HVM applications. Cymer has conducted research on a number of solutions to this critical need. We report our progress on development of a high power system using two gas-discharge power amplifiers and repetition rates exceeding 10 kHz to produce more than 2kW output power with high beam quality. We provide optical performance data and design features of the drive laser as well as a path to output power scaling to meet high volume manufacturing requirements


Optical Microlithography XVI | 2003

Dual-chamber ultra line-narrowed excimer light source for 193 nm lithography

Vladimir B. Fleurov; Daniel J. Colon; Daniel J. W. Brown; Patrick O'Keeffe; Herve A. Besaucele; Alexander I. Ershov; Fedor Trintchouk; Toshihiko Ishihara; Paolo Zambon; Robert J. Rafac; Alexei Lukashev

Since the announcement in March 2002 of plans to develop an advanced light source to meet the future spectral power and cost requirements of photolithography, we have made significant progress in the development and productization of the core technology for an ultra line-narrowed, excimer light source based on a master oscillator-power amplifier (MOPA) approach. In this paper, we will focus on the architecture and performance of the first generation of production-ready, MOPA-based ArF light sources developed at Cymer, Inc. This first generation of MOPA-based ArF light sources is referred to as the XLA 100 product series.


Proceedings of SPIE | 2013

CO2/Sn LPP EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christopher J. Wittak; Robert J. Rafac; Jonathan Grava; Alexander Schafgans; Yezheng Tao; Kay Hoffmann

Laser produced plasma (LPP) systems have been developed as the primary approach for use in EUV scanner light sources for optical imaging of circuit features at 20nm nodes and beyond. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from ASML. We present the latest results on power generation and collector protection for sources in the field operating at 10W nominal power and in San Diego operating in MOPA (Master Oscillator Power Amplifier) Prepulse mode at higher powers. Semiconductor industry standards for reliability and source availability data are provided. In these proceedings we show results demonstrating validation of MOPA Prepulse operation at high dose-controlled power: 40 W average power with closed-loop active dose control meeting the requirement for dose stability, 55 W average power with closed-loop active dose control, and early collector protection tests to 4 billion pulses without loss of reflectivity.


Optical Microlithography XVII | 2004

Long-term reliable operation of a MOPA-based ArF light source for microlithography

Toshihiko Ishihara; Herve A. Besaucele; Cynthia A. Maley; Vladimir B. Fleurov; Patrick O'Keeffe; Mary Haviland; Richard G. Morton; Walter D. Gillespie; Timothy S. Dyer; Bryan G. Moosman; Robert Poole

Since the introduction of the XLA-100 in January 2003, we have built, tested, and shipped a large number of XLA-100 MOPA lasers to microlithography scanner manufacturers. Some systems have already been installed at chip fabrication lines. To ensure product design robustness, we have been performing a long-term system performance test of an XLA-100 laser at Cymer. In this paper, we will report optical performance of the XLA-100 we see during manufacturing final tests, and a summary of the long term testing.


26th Annual International Symposium on Microlithography | 2001

Next-generation 193-nm laser for sub-100-nm lithography

Thomas P. Duffey; Gerry M. Blumenstock; Vladimir B. Fleurov; Xiaojiang Pan; Peter C. Newman; Holger K. Glatzel; Tom A. Watson; Jeffrey Erxmeyer; Ralf Kuschnereit; Bernhard Weigl

The next generation 193 nm (ArF) laser has been designed and developed for high-volume production lithography. The NanoLithTM 7000, offering 20 Watts average output power at 4 kHz repetition rates is designed to support the highest exposure tool scan speeds for maximum productivity and wafer throughput. Fundamental design changes made to the laser core technologies are described. These advancements in core technology support the delivery of highly line-narrowed light with <EQ 0.35 pm FWHM and <EQ 0.95 pm at 95% included energy integral, enabling high contrast imaging from exposure tools with lens NA exceeding 0.75. The system has been designed to support production lithography, meeting specifications for bandwidth, dose stability (+/- 0.3% in 20 ms window) and wavelength stability (+/- 0.05 pm average line center error in 20 ms window) across 2 - 4 kHz repetition rates. Improvements in optical materials and coatings have led to increased lifetime of optics modules. Optimization of the discharge electrode design has increased chamber lifetime. Early life-testing indicates that the NanoLithTM core technologies have the potential for 400% reduction of cost of consumables as compared to its predecessor, the ELX-5000A and has been discussed elsewhere.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Performance of very high repetition rate ArF lasers

Jean-Marc Hueber; Herve A. Besaucele; Palash P. Das; Rick Eis; Alexander I. Ershov; Vladimir B. Fleurov; Dmitri V. Gaidarenko; Thomas Hofmann; Paul C. Melcher; William N. Partlo; Bernard K. Nikolaus; Scot T. Smith; Kyle R. Webb

We report the performance of a very high repetition rate ArF laser optimized for next generation, high NA, high throughput scanner. The lasers repetition rate exceeds 4kHz, at 5mJ, and at bandwidths of less than 1.2 pm. We discuss the complexity of high power operation, and make some estimates about the robustness of this technology. In particular, we discuss the risks of scaling to this high repetition rate, and prospects of exceeding 4kHz to near 6kHz with 95 percent bandwidths of less than 1pm.

Researchain Logo
Decentralizing Knowledge