Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wuping Liu is active.

Publication


Featured researches published by Wuping Liu.


international interconnect technology conference | 2009

Challenges of Ultra low-k integration in BEOL interconnect for 45nm and beyond

Huang Liu; Johnny Widodo; S. L. Liew; Z. H. Wang; Y. H. Wang; B. F. Lin; L. Z. Wu; C.S. Seet; W. Lu; C.H. Low; Wuping Liu; M. S. Zhou; Liang-Choo Hsia

This paper presents some major integration challenges in Ultra low-k (ULK) Back-End-Of-Line (BEOL) interconnects for 45nm and beyond. The discussions mainly address the challenges that arise from ultra violet (UV) curing that cause changes in the composition of Nitrogen doped Silicon Carbide (SiCN), poor mechanical strength of ULK, Reactive Ion Etching (RIE) and barrier deposition plasma induced damage at the sidewall and the bottom of the trench, and gap-fill limitation of the copper (Cu) process. The physical characterization and Resistance-Capacitance (RC) results of the ULK integration are also presented.


international reliability physics symposium | 2009

Effect of chemical mechanical polishing scratch on TDDB reliability and its reduction in 45nm BEOL process

Wuping Liu; Yeow Kheng Lim; Fan Zhang; W.Y. Zhang; C.Q. Chen; Bei Chao Zhang; J.B. Tan; Dong Kyun Sohn; Liang-Choo Hsia

The correlation of time-dependent dielectric breakdown (TDDB) reliability failure with scratches generated from chemical mechanical polishing (CMP) in 45nm backend-ofline (BEOL) process is investigated and established. The wafer map of early TDDB failure samples matches well with the defect wafer map from bright field scans. Electrical fault isolation using thermally induced voltage alteration (TIVA) analysis is employed to locate the hot spot where TDDB leakage occurs. Polish scratchinduced metal damage at the hot spot is further analyzed by topdown scanning electron microscopy (SEM) after de-processing. Also, the depth of the polish scratch is confirmed by using transmission electron microscopy (TEM) analysis. It clearly shows that the embedded particle on copper (Cu) surface and the liner damage resulted from polish scratch severely affect the TDDB reliability. In-situ CMP platen3 (P3) pad chemical preclean is found to reduce the polish scratch density effectively and significantly improve the V-ramp/TDDB reliability performance. However, inappropriate usage of chemical pre-clean would cause Cu corrosion and lead to EM degradation. Hence, a balance between polish scratch reduction and Cu corrosion associated with P3 pad pre-clean needs to be achieved.


international interconnect technology conference | 2009

The effects of dielectric slots on Copper/Low-k interconnects reliability

A. Heryanto; Yeow Kheng Lim; K. L. Pey; Wuping Liu; J.B. Tan; Dong Kyun Sohn; Liang-Choo Hsia

The effects of dielectric slots on Cu/Low-k interconnects reliability were studied. Dielectric slots were proven to be effective in suppressing stress-induced void failure but their impact on EM reliability was found to be minimal. Physical failure analysis and finite element simulations were used to explain the possible mechanisms associated to the different effects of dielectric slots on Cu/low-k reliability.


Archive | 2006

Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects

Wuping Liu; Raymond Joy; Beichao Zhang; Liang Choo Hsia; Boon Meng Seah; Shyam Pal


Archive | 2003

Self-patterning of photo-active dielectric materials for interconnect isolation

Wuping Liu; Bei Chao Zhang; Liang Choo Hsia


Archive | 2002

Barrier metal cap structure on copper lines and vias

Wuping Liu; Beichao Zhang; Liang Choo Hsia


Archive | 2005

Metal barrier cap fabrication by polymer lift-off

Beichao Zhang; Wuping Liu; Liang-Choo Hsia


Archive | 2003

Method of fabrication of a die oxide ring

Fan Zhang; Bei Chao Zhang; Wuping Liu; Kho Liep Chok; Liang Choo Hsia; Tae Jong Lee; Juan Boon Tan; Xian Bin Wang


Archive | 2005

Method to fabricate aligned dual damascene openings

Yeow Kheng Lim; Wuping Liu; Tae Jong Lee; Bei Chao Zhang; Juan Boon Tan; Alan Cuthbertson; Chin Chuan Neo


Archive | 2005

Structure and method to prevent charge damage from e-beam curing process

Huang Liu; Bei Chao Zhang; Wuping Liu; John Sudijono; Liang Choo Hsia

Collaboration


Dive into the Wuping Liu's collaboration.

Top Co-Authors

Avatar

Bei Chao Zhang

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Liang Choo Hsia

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Liang-Choo Hsia

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Juan Boon Tan

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Beichao Zhang

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Yeow Kheng Lim

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Alan Cuthbertson

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Dong Kyun Sohn

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Fan Zhang

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Huang Liu

Chartered Semiconductor Manufacturing

View shared research outputs
Researchain Logo
Decentralizing Knowledge