Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Nicole Saulnier is active.

Publication


Featured researches published by Nicole Saulnier.


Proceedings of SPIE | 2016

EUV patterning successes and frontiers

Nelson Felix; Dan Corliss; Karen Petrillo; Nicole Saulnier; Yongan Xu; Luciana Meli; Hao Tang; Anuja De Silva; Bassem Hamieh; Martin Burkhardt; Yann Mignot; Richard Johnson; Christopher F. Robinson; Mary Breton; Indira Seshadri; Derren Dunn; Stuart A. Sieg; Eric R. Miller; Genevieve Beique; Andre Labonte; Lei Sun; Geng Han; Erik Verduijn; Eunshoo Han; Bong Cheol Kim; Jongsu Kim; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer

The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.


international interconnect technology conference | 2016

BEOL process integration for the 7 nm technology node

Theodorus E. Standaert; Genevieve Beique; H.-C. Chen; Shyng-Tsong Chen; B. Hamieh; Joe Lee; Paul S. McLaughlin; J. McMahon; Yann Mignot; Koichi Motoyama; Son Van Nguyen; Raghuveer Patlolla; Brown Peethala; Deepika Priyadarshini; M. Rizzolo; Nicole Saulnier; Hosadurga Shobha; S. Siddiqui; Terry A. Spooner; H. Tang; O. van der Straten; E. Verduijn; Yongan Xu; Xunyuan Zhang; John C. Arnold; Donald F. Canaperi; Matthew E. Colburn; Daniel C. Edelstein; Vamsi Paruchuri; Griselda Bonilla

A 36 nm pitch BEOL has been evaluated for the 7 nm technology node. EUV lithography was employed as a single-exposure patterning solution. For the first time, it is shown that excellent reliability results can be obtained for Cu interconnects at these small dimensions, by using a TaN/Ru barrier system and a selective Co cap.


Proceedings of SPIE | 2015

EUV processing and characterization for BEOL

Nicole Saulnier; Yongan Xu; Wenhui Wang; Lei Sun; Lin Lee Cheong; Romain Lallement; Genevieve Beique; Bassem Hamieh; John C. Arnold; Nelson Felix; Matthew E. Colburn

The successful demonstration of 637 wafer exposures in 24 hours on the EUV scanner at the IBM EUV Center for Excellence in July marked the transition from research to process development using EUV lithography. Early process development on a new tool involves significant characterization, as it is necessary to benchmark tool performance and process capability. This work highlights some key learning from early EUV process development with a focus on identifying the largest sources of variability for trench and via hole patterning through the patterning process. The EUV scanner demonstrated stable overlay on a 40 lot test run using integrated wafers. The within field and local critical dimension uniformity (CDU) are the largest contributors to CD variations. The line edge roughness (LER) and line width roughness (LWR) in EUV resist will be compared to the post etch value to determine the effect of processing. While these numbers are generally used to describe the robustness of 1D trenches or circular vias, the need to accurately evaluate the printability of irregular 2D features has become increasingly important. In the past 5 years, models built from critical dimension scanning electron microscope (CDSEM) contours has become a hot topic in computational lithography. Applying this methodology, the CDSEM contour technique will be used to assess the uniformity of these irregular patterns in EUV resist and after etching. CDSEM contours also have additional benefits for via pattern characterization.


international interconnect technology conference | 2013

48nm Pitch cu dual-damascene interconnects using self aligned double patterning scheme

Shyng-Tsong Chen; Tae-soo Kim; Seowoo Nam; Neal Lafferty; Chiew-seng Koay; Nicole Saulnier; Wenhui Wang; Yongan Xu; Benjamin Duclaux; Yann Mignot; Marcy Beard; Yunpeng Yin; Hosadurga Shobha; Oscar van der Straten; Ming He; James Kelly; Matthew E. Colburn; Terry A. Spooner

For sub-64nm pitch interconnects build, it is beneficial to use Self Aligned Double Patterning (SADP) scheme for line level patterning. Usually a 2X pitch pattern was printed first, followed by a Sidewall Image Transfer (SIT) technique to create the 1X pitch pattern. A block lithography process is then used to trim this pattern to form the actual designed pattern. In this paper, 48nm and 45nm pitch SADP build will be used as examples to demonstrate the SADP patterning scheme. General discussions about this patterning scheme will be provided including: 1) the process flow of this technique, 2) benefits of the technique vs. pitch split approach, 3) the design impact and limitation, and 4) the extendability to smaller line pitch build.


international interconnect technology conference | 2012

56 nm pitch copper dual-damascene interconnects with triple pitch split metal and double pitch split via

James Chen; Christopher J. Waskiewicz; Susan Su-Chen Fan; Scott Halle; Chiew-seng Koay; Yongan Xu; Nicole Saulnier; Chiahsun Tseng; Yunpeng Yin; Yann Mignot; Marcy Beard; Bryan Morris; Dave Horak; Sylvie Mignot; Hosadurga Shobha; Muthumanickam Sankarapandian; Oscar van der Straten; James Kelly; Donald F. Canaperi; Erin Mclellan; Carol Boye; T. Levin; Juntao Li; J. Demarest; Samuel Choi; Elbert E. Huang; Lars Liemann; Bala Haran; John C. Arnold; Matthew E. Colburn

This work demonstrates the building of a 56 nm pitch copper dual damascene interconnects which connects to the local interconnect level. This M1/V0 dual-damascene used a triple pitch split bi-directional M1 and a double pitch split contact (V0) scheme where the local interconnects are with double pitch split in each direction, respectively. This scheme will provide great design flexibility for the advanced logic circuits. The patterning scheme is multiple negative tone development lithography-etch. A memorization layer is utilized in the triple patterned M1 and the double patterned V0 levels, respectively. After transferring the two via levels into the metal memorization layer, a self-aligned-via (SAV) RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. Seven litho/etch steps (LIP1/LIP2/V0C1/V0C2/M1P1/M1P2/M1P3) were employed to present this revolutionary interconnects.


Proceedings of SPIE | 2016

Comparison of left and right side line edge roughness in lithography

Lei Sun; Nicole Saulnier; Genevieve Beique; Erik Verduijn; Wenhui Wang; Yongan Xu; Hao Tang; Yulu Chen; Ryoung-Han Kim; John C. Arnold; Nelson Felix; Matthew E. Colburn

The left side and right side line edge roughnesses (LER) of a line are compared for different conditions, such as through pitch, through critical dimension (CD), from horizontal to vertical line direction, from litho to etch. The investigation shows that the left and right side LER from lithography process are the same, however, the metrology can cause a 4-25% increase in the measured right side LER. The LER difference is related to the CDSEM e-beam scan direction.


Journal of Micro-nanolithography Mems and Moems | 2016

Improvement of optical proximity-effect correction model accuracy by hybrid optical proximity-effect correction modeling and shrink correction technique for 10-nm node process

Keiichiro Hitomi; Scott Halle; Marshal Miller; Ioana Graur; Nicole Saulnier; Derren Dunn; Nobuhiro Okai; Shoji Hotta; Atuko Yamaguchi; Hitoshi Komuro; Toru Ishimoto; Shunsuke Koshihara; Yutaka Hojo

Abstract. The model accuracy of optical proximity-effect correction (OPC) was investigated by two modeling methods for a 10-nm node process. The first method is to use contours of two-dimensional structures extracted from critical dimension-scanning electron microscope (CD-SEM) images combined with conventional CDs of one-dimensional structures. The accuracy of this hybrid OPC model was compared with that of a conventional OPC model, which was created with only CD data, in terms of root-mean-square (RMS) error for metal and contact layers of 10-nm node logic devices. Results showed improvement of model accuracy with the use of hybrid OPC modeling by 23% for contact layer and 18% for metal layer, respectively. The second method is to apply a correction technique for resist shrinkage caused by CD-SEM measurement to extracted contours for improving OPC model accuracy. The accuracy of OPC model with shrink correction was compared with that without shrink correction, and total RMS error was decreased by 12% by using the shrink correction technique. It can be concluded that the use of CD-SEM contours and the shrink correction of contours are effective to improve the accuracy of OPC model for the 10-nm node process.


Proceedings of SPIE | 2015

Towards production ready processing with a state-of-the-art EUV cluster

Karen Petrillo; Nicole Saulnier; Richard Johnson; Luciana Meli; Christopher F. Robinson; Chiew-seng Koay; Nelson Felix; Daniel Corliss; Matthew E. Colburn; Takashi Saito; Lior Huli; David Hetzer; Hiroie Matsumoto; Andrew Metz; Yudai Hira

EUV lithography is one of the main candidates for enabling the next generation of devices, primarily by enabling a lithography process that reduces complexity, and eventually, cost. IBM has installed the latest tool sets at the IBM EUV Center of Excellence in Albany to accelerate EUV lithography development for production use. Though the EUV cluster is capable of enabling the pitch requirements for the 7nm node, the dimensions in question represent a new regime in defectivity. Additionally, new classes of patterning materials are being explored, for which there is very little known up-front regarding known defect mechanisms. We will discuss the baseline cluster performance and the improvement strategy in terms of defectivity and pattern collapse in this paper by utilizing coater/developer techniques based on the new platform.


Proceedings of SPIE | 2013

Feasibility study of resist slimming for SIT

Nicole Saulnier; Chiew-seng Koay; Matthew E. Colburn; David Hetzer; Michael Cicoria; Jonathan Ludwicki

Wet chemical slimming of resist can enable a resist mandrel for sidewall-image transfer (SIT) by decreasing the mandrel width and smoothing the mandrel sidewalls. This would reduce the cost of the SIT process. Several key metrics are used to compare the traditional etched mandrel and the slimmed resist mandrel, including: process window, critical dimension uniformity, and defectivity. New resists are shown to have larger process windows after slimming than an etched mandrel process while maintaining comparable critical dimension uniformity. The major challenge to the resist mandrel is the profile post-slim.


Proceedings of SPIE | 2017

Comprehensive analysis of line-edge and line-width roughness for EUV lithography

Ravi Bonam; Chi-Chun Liu; Mary Breton; Stuart A. Sieg; Indira Seshadri; Nicole Saulnier; Jeffrey Shearer; Raja Muthinti; Raghuveer Patlolla; H.‐C. W. Huang

Pattern transfer fidelity is always a major challenge for any lithography process and needs continuous improvement. Lithographic processes in semiconductor industry are primarily driven by optical imaging on photosensitive polymeric material (resists). Quality of pattern transfer can be assessed by quantifying multiple parameters such as, feature size uniformity (CD), placement, roughness, sidewall angles etc. Roughness in features primarily corresponds to variation of line edge or line width and has gained considerable significance, particularly due to shrinking feature sizes and variations of features in the same order. This has caused downstream processes (Etch (RIE), Chemical Mechanical Polish (CMP) etc.) to reconsider respective tolerance levels. A very important aspect of this work is relevance of roughness metrology from pattern formation at resist to subsequent processes, particularly electrical validity. A major drawback of current LER/LWR metric (sigma) is its lack of relevance across multiple downstream processes which effects material selection at various unit processes. In this work we present a comprehensive assessment of Line Edge and Line Width Roughness at multiple lithographic transfer processes. To simulate effect of roughness a pattern was designed with periodic jogs on the edges of lines with varying amplitudes and frequencies. There are numerous methodologies proposed to analyze roughness and in this work we apply them to programmed roughness structures to assess each technique’s sensitivity. This work also aims to identify a relevant methodology to quantify roughness with relevance across downstream processes.

Researchain Logo
Decentralizing Knowledge