Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yumi Nakajima is active.

Publication


Featured researches published by Yumi Nakajima.


Proceedings of SPIE | 2008

Aberration budget in extreme ultraviolet lithography

Yumi Nakajima; Takashi Sato; Ryoichi Inanami; Tetsuro Nakasugi; Tatsuhiko Higashiki

It seems that the actual EUV lithography tools will have aberrations around ten times larger than those of the latest ArF lithography tools in wavelength normalized rms. We calculated the influence of aberrations on the size error and pattern shift error using Zernike sensitivity analysis. Mask-induced aberration restricts the specification of aberration. Without periodic additional pattern, the aberration to form 22 nm dual-gate patterns was below 8 mλ rms. Arranging the periodic additional pattern relaxed the aberration tolerance. With periodic additional pattern, the aberration to form 22 nm patterns was below 37 mλ rms. It is important to make pattern periodicity for the relaxation of the aberration specification.


Proceedings of SPIE | 2010

Process liability evaluation for beyond 22nm node using EUVL

Kazuo Tawarayama; Hajime Aoyama; Kentaro Matsunaga; Yukiyasu Arisawa; Taiga Uno; Shunko Magoshi; Suigen Kyoh; Yumi Nakajima; Ryoichi Inanami; Satoshi Tanaka; Ayumi Kobiki; Yukiko Kikuchi; Daisuke Kawamura; Kosuke Takai; Koji Murano; Yumi Hayashi; Ichiro Mori

Extreme ultraviolet lithography (EUVL) is the most promising candidate for the manufacture of devices with a half pitch of 32 nm and beyond. We are now evaluating the process liability of EUVL in view of the current status of lithography technology development. In a previous study, we demonstrated the feasibility of manufacturing 32-nm-node devices by means of a wafer process that employed the EUV1, a full-field step-and-scan exposure tool. To evaluate yield, a test pattern was drawn on a multilayer resist and exposed. After development, the pattern was replicated in SiO2 film by etching, and metal wires were formed by a damascene process. Resolution enhancement is needed to advance to the 22- nm node and beyond, and a practical solution is off-axis illumination (OAI). This paper presents the results of a study on yield improvement that used a 32-nm-node test chip, and also clarifies a critical issue in the use of EUVL in a wafer process for device manufacture at the 22-nm node and beyond.


Proceedings of SPIE | 2009

Process liability evaluation for EUVL

Hajime Aoyama; Kazuo Tawarayama; Yuusuke Tanaka; Daisuke Kawamura; Yukiyasu Arisawa; Taiga Uno; Takashi Kamo; Toshihiko Tanaka; Toshiro Itani; Hiroyuki Tanaka; Yumi Nakajima; Ryoichi Inanami; Kosuke Takai; Koji Murano; Takeshi Koshiba; Kohji Hashimoto; Ichiro Mori

This paper concerns the readiness of extreme ultraviolet lithography (EUVL) for high-volume manufacture based on accelerated development in critical areas and the construction of a process liability (PL) test site that integrates results in these areas. The overall lithography performance was determined from the performance of the exposure tool, the printability obtainable with the resist, mask fabrication with accurate critical dimension (CD) control, and correction technology for mask data preparation. The EUV1 exposure tool can carry out exposure over the full field (26 mm × 33 mm) at a resolution high enough for 32-nm line-and-space patterns when Selete Standard Resist 3 (SSR3) is used. Thus, the test site was designed for the full-field exposure of various pattern sizes [half-pitch (hp) 32-50 nm]. The CD variation of the mask was found to be as good as 2.8 nm (3σ); and only one printable defect was detected. The effect of flare on CD variation is a critical issue in EUVL; so flare was compensated for based on the point spread function for the projection optics of the EUV1 and aerial simulations that took resist blur into account. The accuracy obtained when an electronic design automation (EDA) tool was used for mask resizing was found to be very good (error ≤ ±2 nm). Metal wiring patterns with a size of hp 32 nm were successfully formed by wafer processing. The production readiness of EUVL based on the integration of results in these areas was evaluated by electrical tests on low-resistance tungsten wiring. The yield for the electrically open test for hp 50 nm (32-nm logic node) and hp 40 nm (22-nm logic node) were found to be over 60% and around 50%, respectively; and the yield tended to decrease as patterns became smaller. We found the PL test site to be very useful for determining where further improvements need to be made and for evaluating the production readiness of EUVL.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Effective-exposure-dose monitoring technique in EUV lithography

Yumi Nakajima; Kentaro Kasa; Takashi Sato; Masafumi Asano; Suigen Kyoh; Hiroyuki Mizuno

EUV lithography is a promising candidate for 2x-nm-node device manufacturing. Management of effective dose is important to meet the stringent requirements for CD control. Test pattern for a lithography tool evaluation, the effective dose monitor (EDM), shows good performance in the dose monitoring for optical lithography, for example, KrF lithography. The EDM can measure an exposure dose with no influence on defocus, because the image of an EDM pattern is produced by the zero-th-order ray in diffraction only. When this technique is applied to EUV lithography, the mask shadowing effect should be taken into consideration. We calculated the shadowing effect as a function of field position and applied it to correction of the experimental dose variation. We estimated the dose variation in EUV exposure field to be 2.55 % when corrected by the shadowing effect. We showed that the EDM is useful for EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2010

Design for manufacture to deal with mask-induced critical dimension errors in the extreme ultraviolet

Yumi Nakajima; Takashi Sato; Ryoichi Inanami; Tetsuro Nakasugi; Tatsuhiko Higashiki

Abstract. The actual extreme ultraviolet lithography tools will have ab-errations around seven times larger than those of the latest ArF lithogra-phy tools in wavelength normalized rms. We calculated the influence ofaberrations on the size error and pattern shift error using Zernike sensi-tivity analysis. Mask-induced aberration restricts the specification of ab-erration. Without periodic additional pattern, the aberration level that canbe accepted to form 22 nm dual-gate patterns was 8m rms. Arrang-ing the periodic additional pattern relaxed the aberration tolerance. Withperiodic additional pattern, the acceptable aberration level to form 22 nmpatterns was below 37 m rms. It is important to make pattern period-icity for the relaxation of the aberration specification.


Journal of Micro-nanolithography Mems and Moems | 2009

Process liability evaluation for extreme ultraviolet lithography

Hajime Aoyama; Kazuo Tawarayama; Yuusuke Tanaka; Daisuke Kawamura; Yukiyasu Arisawa; Taiga Uno; Takashi Kamo; Toshihiko Tanaka; Toshiro Itani; Hiroyuki Tanaka; Yumi Nakajima; Ryoichi Inanami; Kosuke Takai; Koji Murano; Takeshi Koshiba; Kohji Hashimoto; Ichiro Mori

This work concerns the readiness of extreme ultraviolet lithography (EUVL) for high-volume manufacturing based on accelerated development in critical areas, and the construction of a process liability (PL) test site that integrates results in these areas. Overall lithography performance is determined from the performance of the exposure tool, the printability obtainable with the resist, mask fabrication with accurate critical dimension (CD) control, and correction technology for mask data preparation. The EUV1 exposure tool can carry out exposure over the full field (26 × 33 mm) at a resolution high enough for 32-nm line-and-space patterns when Selete Standard Resist 3 (SSR3) is used. The effect of flare on CD variation is a critical issue in EUVL, so flare is compensated for based on the point spread function for the projection optics of the EUV1 and aerial simulations that take resist blur into account. Production readiness of EUVL based on the integration of results in these areas is evaluated by electrical tests on low-resistance tungsten wiring. We find the PL test site to be very useful for determining where further improvements need to be made and for evaluating the production readiness of EUVL.


Proceedings of SPIE | 2011

Manufacturability of 2x-nm devices with EUV tool

Kazuo Tawarayama; Yumi Nakajima; Suigen Kyoh; Hajime Aoyama; Kentaro Matsunaga; Shunko Magoshi; Satoshi Tanaka; Yumi Hayashi; Ichiro Mori

Due to the promising development status of EUVL as a practical lithography technology for the 2x-nm node, we are continuing to evaluate its process liability using the EUV1 at Selete, which has an Off-Axis illumination capability. The resolution limit of the EUV1 for L&S patterns is currently 18 nm for dipole illumination, and 16 nm for aggressive dipole illumination. This study examined the critical points of EUVL for device manufacturing through wafer processes. The yield obtained from electrical measurements indicates the maturity of the technology, including the resist process, the tool, and the mask. Optimization of the resist and RIE processes significantly improved the yield. The final yields obtained from electrical measurements were 100% for hp 30 nm, 70% for hp 28 nm, and 40% for hp 26 nm. These results demonstrate EUV lithography to be a practical technology that is now suitable for 2x nm semiconductor manufacture.


Journal of Micro-nanolithography Mems and Moems | 2011

Effective exposure dose monitoring technique in extreme ultraviolet lithography

Yumi Nakajima; Kentaro Kasa; Takashi Sato; Masafumi Aasano; Suigen Kyoh; Hiroyuki Mizuno

Extreme ultraviolet (EUV) lithography is a promising candidate for 2x-nm-node device manufacturing. Management of effective dose is important to meet the stringent requirements for critical dimension control. As a test pattern for a lithography tool evaluation, the effective dose monitor (EDM) demonstrates sound performance in dose monitoring for optical lithography, such as KrF lithography. The EDM can measure an exposure dose with no influence on defocus, because the image of an EDM pattern is produced by the zeroth-order ray in diffraction only. When this technique is applied to EUV lithography, the mask shadowing effect should be taken into consideration. We calculated the shadowing effect as a function of field position and applied it to correction of the experimental dose variation. We estimated the dose variation in EUV exposure field to be 2.55% when corrected by the shadowing effect. We showed that the EDM is useful for EUV lithography.


Japanese Journal of Applied Physics | 2011

Application of Extreme Ultraviolet Lithography to Test Chip Fabrication

Kazuo Tawarayama; Yumi Nakajima; Suigen Kyoh; Hajime Aoyama; Kentaro Matsunaga; Satoshi Tanaka; Shunko Magoshi

Extreme ultraviolet (EUV) lithography is considered to be the most promising technology for meeting the lithographic challenges posed by the next generation semiconductor design rule beyond a half pitch (hp) of 22 nm. A key area of the Selete EUV program is proof of manufacturability, which means verification of module integration for EUV lithography. To accomplish this, many technologies [e.g., mask, exposure tool, resist, optical proximity correction (OPC)] need to be developed and integrated. In this paper, we discuss the current status of each of them. To verify EUVs manufacturability, we applied EUV to test chip fabrication, metal wiring fabrication, and electrical measurement. The yield number of the hp 28 nm pattern is 70% after improving the resist and the etching process. These results show demonstrate that EUV lithography is a practical technology that is now suitable for semiconductor devices for 2x nm area.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Mask-induced aberration in EUV lithography

Yumi Nakajima; Takashi Sato; Ryoichi Inanami; Tetsuro Nakasugi; Tatsuhiko Higashiki

We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

Collaboration


Dive into the Yumi Nakajima's collaboration.

Researchain Logo
Decentralizing Knowledge