Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paul S. McLaughlin is active.

Publication


Featured researches published by Paul S. McLaughlin.


international electron devices meeting | 2012

22nm High-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned Via 15LM BEOL

Shreesh Narasimha; Paul Chang; C. Ortolland; David M. Fried; E. Engbrecht; K. Nummy; Paul C. Parries; Takashi Ando; M. Aquilino; N. Arnold; R. Bolam; J. Cai; Michael P. Chudzik; B. Cipriany; G. Costrini; Min Dai; J. Dechene; C. DeWan; B. Engel; Michael A. Gribelyuk; Dechao Guo; G. Han; N. Habib; Judson R. Holt; Dimitris P. Ioannou; Basanth Jagannathan; D. Jaeger; J. Johnson; W. Kong; J. Koshy

We present a fully-integrated SOI CMOS 22nm technology for a diverse array of high-performance applications including server microprocessors, memory controllers and ASICs. A pre-doped substrate enables scaling of this third generation of SOI deep-trench-based embedded DRAM for a dense high-performance memory hierarchy. Dual-Embedded stressor technology including SiGe and Si:C for improved carrier mobility in both PMOS and NMOS FETs is presented for the first time. A hierarchical BEOL with 15 levels of copper interconnect including self-aligned via processing delivers high performance with exceptional reliability.


international reliability physics symposium | 2008

Line edge roughness and spacing effect on low-k TDDB characteristics

Fen Chen; J. R. Lloyd; Kaushik Chanda; Ravi Achanta; O. Bravo; A.W. Strong; Paul S. McLaughlin; Michael A. Shinosky; S. Sankaran; Ephrem G. Gebreselasie; A.K. Stamper; Zhong-Xiang He

The study of low-k TDDB line space scaling is important for assuring robust reliability for new technologies. Although spacing effects due to line edge roughness (LER) on low-k TDDB lifetime were reported previously (Chen et al., 2007; Lloyd et al., 2007; Kim et al., 2007), there has been a lack of an analytical model with which to link line edge roughness to experimental TDDB data in a simple quantitative format. This work reports a thorough investigation into the low-k SiCOH line LER effect on low-k TDDB covering both experimental results and finite element modeling (FEM) simulations. The maximum electric field intensity as a result of sidewall LER bump was found to depend on the bump curvature. The decrease of low-k line spacing that resulted in a shorter TDDB lifetime even under the same applied electric field was then carefully analyzed. A simple analytical model of the effect of line edge roughness on TDDB failure time reduction is presented. This model was verified by experimental results. Additionally, a method to electrically quantify an overall line edge roughness is introduced.


international reliability physics symposium | 2007

The Effect of Metal Area and Line Spacing on TDDB Characteristics of 45nm Low-k SiCOH Dielectrics

Fen Chen; Paul S. McLaughlin; Jeffrey P. Gambino; Ernest Y. Wu; J. Demarest; D. Meatyard; Michael A. Shinosky

Low-k time-dependent dielectric breakdown (TDDB) is rapidly becoming one of the most important reliability issues in Cu/low-k technology development and qualification. Although considerable progress has been made in recent years in addressing the electric field dependence of low-k time-to-breakdown (tBD), there has been very little comprehensive work done on the effect of metal area and line spacing on low-k TDDB. The lifetime of a product chip is typically obtained by extrapolating TDDB data from small test structures to large chip areas, and the low-k TDDB line spacing scaling rule normally should be considered for the definition of operating voltages for various technologies to assure long-term reliability. Therefore, both area scaling and line spacing scaling relations are of great importance, in order to have a robust technology qualification. In this study, a thorough investigation into the 45 nm low-k SiCOH TDDB was conducted in order to understand the breakdown failure statistics, to model the area dependence, and to explore the line spacing scaling. With the help of experimental results and computational simulations, the effect of line-to-line spacing on low-k TDDB was clearly identified and a methodology for accurate determination of Weibull shape factor is proposed.


international reliability physics symposium | 2005

Impact of via-line contact on Cu interconnect electromigration performance

Baozhen Li; J. Gill; Cathryn Christiansen; Timothy D. Sullivan; Paul S. McLaughlin

Damascene processing creates special features for copper interconnect electromigration (EM). Though the fast Cu diffusion path is along the interface between Cu and the top cap layer, the early EM fails are often associated with vias, either by voiding in the via (via depletion), or by voiding underneath the via (line depletion). While most of the early EM fails for via depletion are related to the liner quality in vias, for line depletion EM the contact configuration between the via and the underlying line is critical to the failure characteristics. The contact between the via and the line liner below can effectively prevent or minimize open-circuit type EM failures. Redundant vias can significantly improve the EM performance in both the median failure time (t/sub 50/) and the distribution shape (sigma, /spl sigma/), depending on the arrangement of these vias relative to the line below. This paper presents an EM study of Cu interconnects with various via/line contact configurations. Results from single via and multiple via contacts, with and without redundancy to the underlying lines, are discussed.


international reliability physics symposium | 2009

The effect of a threshold failure time and bimodal behavior on the electromigration lifetime of copper interconnects

Ronald G. Filippi; Ping-Chuan Wang; A. Brendler; Paul S. McLaughlin; J. Poulin; B. Redder; J. R. Lloyd; J. Demarest

Electromigration results are described for a Dual Damascene structure with copper metallization and a low-k dielectric material. The failure times follow a bimodal lognormal behavior with early and late failures. Moreover, there is evidence of a threshold failure time such that each failure mode is represented by a 3-parameter lognormal distribution. It is found that the threshold failure time scales differently with current density from the median time to failure, which can be explained by considering two components of the electromigration lifetime: one controlled by void nucleation and the other controlled by void growth.


international electron devices meeting | 2006

A 45 nm CMOS node Cu/Low-k/ Ultra Low-k PECVD SiCOH (k=2.4) BEOL Technology

S. Sankaran; S. Arai; R. Augur; M. Beck; G. Biery; T. Bolom; G. Bonilla; O. Bravo; K. Chanda; M. Chae; F. Chen; L. Clevenger; S. Cohen; A. Cowley; P. Davis; J. Demarest; J. P. Doyle; Christos D. Dimitrakopoulos; L. Economikos; Daniel C. Edelstein; M. Farooq; R. Filippi; J. Fitzsimmons; N. Fuller; S. M. Gates; S. Greco; A. Grill; S. Grunow; R. Hannon; K. Ida

A high performance 45nm BEOL technology with proven reliability is presented. This BEOL has a hierarchical architecture with up to 10 wiring levels with 5 in PECVD SiCOH (k=3.0), and 3 in a newly-developed advanced PECVD ultralow-k (ULK) porous SiCOH (k=2.4). Led by extensive circuit performance estimates, the detrimental impact of scaling on BEOL parasitics was overcome by strategic introduction of ULK at 2times wiring levels, and increased 1times wire aspect ratios in low-k, both done without compromising reliability. This design point maximizes system performance without adding significant risk, cost or complexity. The new ULK SiCOH film offers superior integration performance and mechanical properties at the expected k-value. The dual damascene scheme (non-poisoning, homogeneous ILD, no trench etch-stop or CMP polish-stop layers) was extended from prior generations for all wiring levels. Reliability of the 45 nm-scaled Cu wiring in both low-k and ULK levels are proven to meet the criteria of prior generations. Fundamental solutions are implemented which enable successful ULK chip-package interaction (CPI) reliability, including in the most aggressive organic flip-chip FCPBGA packages. This represents the first successful implementation of Cu/ULK BEOL to meet technology reliability qualification criteria


international reliability physics symposium | 2004

Thermal cycle reliability of stacked via structures with copper metallization and an organic low-k dielectric

Ronald G. Filippi; J.F. McGrath; Thomas M. Shaw; C.E. Murray; H.S. Rathore; Paul S. McLaughlin; Vincent J. McGahay; L. Nicholson; P.-C. Wang; J.R. Lloyd; M. Lane; R. Rosenberg; X. Liu; Y.-Y. Wang; W. Landers; T. Spooner; J. Demarest; B.H. Engel; J. Gill; G. Goth; E. Barth; G. Biery; C.R. Davis; R.A. Wachnik; R. Goldblatt; T. Ivers; A. Swinton; C. Barile; J. Aitken

The reliability of a stacked via chain stressed under various thermal cycle conditions is described. The chain consists of Cu Dual Damascene metallization with SiLK (trademark of Dow Chemical) as the organic low-k dielectric. Failure analysis indicates that cracks form in the Cu vias during thermal cycle stress. Due to the presence of two failure modes, the thermal cycle statistical behavior is described by a bimodal lognormal failure distribution. The thermal cycle lifetime exhibits a strong dependence on the temperature range and a rather weak dependence, on the maximum temperature in the cycle. Evidence of a threshold temperature range below which thermal cycle fails should not occur as well as a correlation between the test structure yield and reliability are also reported.


IEEE Transactions on Device and Materials Reliability | 2011

Statistical Evaluation of Electromigration Reliability at Chip Level

Baozhen Li; Paul S. McLaughlin; Jeanne P. Bickford; Peter A. Habitz; Dileep N. Netrabile; Timothy D. Sullivan

Chip level electromigration (EM) reliability is determined by: 1) the element level EM failure probability used for design guideline generation; and 2) the distribution of EM elements against design limits. Balancing these two factors is critical for a chip design to achieve the best performance while maintaining chip level EM reliability. This paper discusses the relationship between element level and chip level EM failure probability and provides examples of EM evaluation of chip designs.


STRESS-INDUCED PHENOMENA IN METALLIZATION: Tenth International Workshop on#N#Stress-Induced Phenomena in Metallization | 2009

Electromigration Challenges for Nanoscale Cu Wiring

C.-K. Hu; L. M. Gignac; E. Liniger; Elbert E. Huang; S. Greco; Paul S. McLaughlin; Chih-Chao Yang; J. Demarest

Electromigration data and a theoretical model have shown that Cu lifetime in on‐chip Damascene interconnect structures has dropped for every new interconnect generation, even when tested at the same current density. In addition, a mixture of bamboo and polycrystalline grain structures instead of a bamboo‐like structure observed for <90 nm wide lines (65 nm technology node) resulted in further lifetime degradation by the addition of grain boundary diffusion. The techniques for improving EM lifetime either by modifying the interconnect structure by adding dummy vias on top of a Cu line, a Ru cap on the Cu top surface, or the formation of a thin CuSiN layer at the Cu/dielectric interface were investigated. The upper dummy vias, the Ru cap or CuSiN layer on the top surface of the Cu lines interrupted the Cu mass flow along the top surface interface which can improve lifetimes. The upper level dummy via structure was a powerful tool for helping to understand the Cu microstructure and to distinguish fast diffus...


international interconnect technology conference | 2016

BEOL process integration for the 7 nm technology node

Theodorus E. Standaert; Genevieve Beique; H.-C. Chen; Shyng-Tsong Chen; B. Hamieh; Joe Lee; Paul S. McLaughlin; J. McMahon; Yann Mignot; Koichi Motoyama; Son Van Nguyen; Raghuveer Patlolla; Brown Peethala; Deepika Priyadarshini; M. Rizzolo; Nicole Saulnier; Hosadurga Shobha; S. Siddiqui; Terry A. Spooner; H. Tang; O. van der Straten; E. Verduijn; Yongan Xu; Xunyuan Zhang; John C. Arnold; Donald F. Canaperi; Matthew E. Colburn; Daniel C. Edelstein; Vamsi Paruchuri; Griselda Bonilla

A 36 nm pitch BEOL has been evaluated for the 7 nm technology node. EUV lithography was employed as a single-exposure patterning solution. For the first time, it is shown that excellent reliability results can be obtained for Cu interconnects at these small dimensions, by using a TaN/Ru barrier system and a selective Co cap.

Researchain Logo
Decentralizing Knowledge