Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chi-Nung Ni is active.

Publication


Featured researches published by Chi-Nung Ni.


symposium on vlsi technology | 2015

Ultra-low contact resistivity with highly doped Si:P contact for nMOSFET

Chi-Nung Ni; Xuebin Li; Shashank Sharma; K.V. Rao; Miao Jin; Christopher Lazik; V. Banthia; B. Colombeau; Naushad Variam; Abhilash J. Mayur; Hua Chung; Raymond Hung; Adam Brand

We report a record setting low NMOS contact Rc of 2e-9 Ωcm2 with an all-silicon based solution. The ultra-low contact resistivity of Ti/Si system of 2e-9 Ωcm2 has been demonstrated with Highly Doped Si:P (HD Si:P) EPI layer which is compatible with FinFET S/D structures combined with millisecond laser anneal activation (DSA). Additionally, we show the pathway to further improve contact resistivity with HD Si:P using P implantation followed by laser anneal to reach the contact resistivity requirement for the 10nm or 7 nm nodes.


symposium on vlsi technology | 2016

Ultra-low NMOS contact resistivity using a novel plasma-based DSS implant and laser anneal for post 7 nm nodes

Chi-Nung Ni; K.V. Rao; Fareen Adeni Khaja; Shashank Sharma; S. Tang; J. J. Chen; Kelly E Hollar; N. Breil; Xuebin Li; Miao Jin; Christopher Lazik; J. Y. Lee; H. Maynard; Naushad Variam; Abhilash J. Mayur; S. Kim; Hua Chung; Michael Chudzik; Raymond Hung; Naomi Yoshida; Namsung Kim

We report a record-setting low NMOS contact resistivity of 1.2×10<sup>-9</sup> Ωcm<sup>2</sup> compatible with Ti/Si system and dopant segregation Schottky (DSS) based solution. The ultra-low contact resistivity of Ti/Si system is demonstrated with Highly Doped Si:P Epi layer and P implantation using conformal plasma implant followed by millisecond laser anneal. Additionally, we show that short-pulse nanosecond laser as post implant anneal provides a promising pathway to further improve NMOS ρ<sub>C</sub> to below 1×10<sup>-9</sup> Ωcm<sup>2</sup> for the post 7 nm nodes.


symposium on vlsi technology | 2016

PMOS contact resistance solution compatible to CMOS integration for 7 nm node and beyond

Chi-Nung Ni; Yi-Chiau Huang; S. Jun; Shiyu Sun; A. Vyas; Fareen Adeni Khaja; K.V. Rao; Shashank Sharma; N. Breil; Miao Jin; Christopher Lazik; Abhilash J. Mayur; J. Gelatos; Hua Chung; Raymond Hung; Michael Chudzik; Naomi Yoshida; Namsung Kim

We report a PMOS contact resistivity (pc) improvement strategy by forming Ge-rich contact interface which is compatible to Ti/Si(Ge) system and CMOS integration flow. Short pulsed (nsec) laser anneal and advanced treatment during pre-clean have shown to be effective to segregate Ge towards SiGe surface resulting in PMOS ρc improvement. With Ge% increasing from 45 to 100%, pc improved three-fold, from 1.2e-8 to 2.8e-9 Ωcm2, due to bandgap modulation and preferred Fermi-level pinning [1]. In the end, we propose a CMOS-integration-compatible contact flow which addresses ρc optimization for both PMOS and NMOS contact.


symposium on vlsi technology | 2013

Laser anneal assisted contact resistivity reduction with post-silicide implantation for 14nm node and beyond

Chi-Nung Ni; K.V. Rao; Fareen Adeni Khaja; Shashank Sharma; B. Zheng; J. Ramalingam; J. Gelatos; J. Lei; S. Muthukrishnan; Raymond Hung; Chorng-Ping Chang; Naushad Variam; Adam Brand

The continuing reduction of contact resistivity (ρC) is a critical challenge for device performance. In this paper the ρC reduction for n-SD (source/drain) is demonstrated using post-silicide implantation of Se or P into Ni(Pt) silicide, with various energies/doses and laser anneal conditions. The improvement of ρC is achieved without sacrificing junction integrity/leakage. Hence laser assisted post-silicide implantation can be a key enabler to realize low silicide contact for n-SD for the 14 nm node and beyond.


ION IMPLANTATION TECHNOLOGY 2012: Proceedings of the 19th International Conference on Ion Implantation Technology | 2012

Schottky barrier height tuning using P+ DSS for NMOS contact resistance reduction

Fareen Adeni Khaja; K. V. Rao; Chi-Nung Ni; Shankar Muthukrishnan; Jianxin Lei; Andrew Darlark; Igor Peidous; Adam Brand; Todd Henry; Naushad Variam

Nickel silicide (NiSi) contacts are adopted in advanced CMOS technology nodes as they demonstrate several benefits such as low resistivity, low Si consumption and formation temperature. But a disadvantage of NiSi contacts is that they exhibit high electron Schottky barrier height (SBH), which results in high contact resistance (Rc) and reduces the NMOS drive current. To reduce SBH for NMOS, we used phosphorous (P) ion implantation into NiPt silicide with optimized anneal in order to form dopant segregated Schottky (DSS). Electrical characterization was performed using test structures such as Transmission Line Model, Cross-Bridge Kelvin Resistor, Van der Pauw and diodes to extract Rc and understand the effects of P+ DSS on ΦBn tuning. Material characterization was performed using SIMS, SEM and TEM analysis. We report ∼45% reduction in Rc over reference sample by optimizing ion implantation and anneal conditions (spike RTA, milli-second laser anneals (DSA)).


international workshop on junction technology | 2015

NMOS contact engineering for CMOS scaling

K. V. Rao; Chi-Nung Ni; Fareen Adeni Khaja; Xuebin Li; Shashank Sharma; Raymond Hung; Michael Chudzik; Bingxi Wood; Kyu-Ha Shim; Todd Henry; Naushad Variam

The 10-7 nm CMOS nodes require that ρc be reduced to <; 2E-9 Ω.cm2. Fermi level for most metals is pinned at mid-gap, resulting in a challenge to decrease SBH. There are several implant solutions, such as thermal implants, that can be leveraged to benefit the FinFET doping of SDE, SD and contact module for scaled CMOS.


symposium on vlsi technology | 2014

Selenium segregation optimization for 10 nm node contact resistivity

Chi-Nung Ni; K.V. Rao; Fareen Adeni Khaja; Shashank Sharma; B. Zheng; J. Ramalingam; J. Gelatos; J. Lei; Chorng-Ping Chang; Abhilash J. Mayur; Naushad Variam; Raymond Hung; Adam Brand

Contact resistivity (ρ<sub>C</sub>) reduction for n-SD (source/drain) with Se<sup>+</sup> implant was evaluated for different integration schemes. It is found that Se<sup>+</sup> implant energy is one of the most critical process parameters for ρ<sub>C</sub> improvement, achieved by placing the Se<sup>+</sup> peak close to silicide (TiSi<sub>2</sub> or NiPtSi)/Si interface and minimized implant damage. Recovery of implant damage to silicide and n-SD region was achieved with millisecond laser anneal, while minimizing dopant deactivation. This work demonstrated a viable integration pathway to realize low ρ<sub>C</sub> solution for n-SD for 10 nm node.


ieee silicon nanoelectronics workshop | 2014

The effect of interfacial oxide and high-κ thickness on NMOS V th shift from plasma-induced damage

Chih-Yang Chang; Jie Zhou; Chi-Nung Ni; Osbert Chan; Shiyu Sun; Wesley Suen; Sherry Mings; Malcolm J. Bevan; Patricia M. Liu; Peter Hsieh; Chorng-Ping Chang; Raymond Hung

Different thicknesses of interfacial oxide and high-κ were used to study the effects of plasma-induced damage (PID) in NMOS transistors. The thickness of high-κ HfO<sub>2</sub> was varied from 15Å to 25Å. The thickness of the interfacial layer (IL) with N<sub>2</sub>O/H<sub>2</sub> was also varied from 5Å to 10Å. The threshold voltage (V<sub>th</sub>) shift was observed to be greater in the thinner oxide using the same plasma condition. There was no significant effect with different IL thickness between 5Å and 10Å.


2014 20th International Conference on Ion Implantation Technology (IIT) | 2014

Damage engineered Se implant for NMOS TiSi x contact resistivity reduction

K.V. Rao; Fareen Adeni Khaja; Chi-Nung Ni; Shashank Sharma; B. Zheng; J. Ramalingam; J. Gelatos; J. Lei; Abhilash J. Mayur; Raymond Hung; V. Banthia; Adam Brand; Naushad Variam

Low specific contact resistivity (7E-9 Ohm.cm2) was achieved for contacts with TiSix to in-situ epitaxially doped Si:P n-SD regions by use of Se implantation prior to Ti deposition. The key to this achievement is the optimization of implant energy and dose, and use of millisecond laser anneal to heal the implant damage, while allowing sufficient inter-mixing of Ti, Si, Se and P atoms across a smooth TiSix/Si:P interface, to realize the SBH-lowering benefits of Se.


224th ECS Meeting (October 27 – November 1, 2013) | 2013

Fin Doping by Hot Implant for 14nm FinFET Technology and Beyond

Bingxi Wood; Fareen Adeni Khaja; B. Colombeau; Shiyu Sun; Andrew M. Waite; Miao Jin; Hao Chen; Osbert Chan; Thirumal Thanigaivelan; Nilay Pradhan; Hans-Joachim Ludwig Gossmann; Chi-Nung Ni; Wesley Suen; Shashank Sharma; Venkataramana Chavva; Man-Ping Cai; Motoya Okazaki; Samuel Swaroop Munnangi; Chorng-Ping Chang; Abhilash J. Mayur; Naushad Variam; Adam Brand

Collaboration


Dive into the Chi-Nung Ni's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge