Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chorng-Ping Chang is active.

Publication


Featured researches published by Chorng-Ping Chang.


IEEE Transactions on Electron Devices | 2013

Fabrication of

Byron Ho; Nuo Xu; Bingxi Wood; Vinh Tran; Saurabh Chopra; Yihwan Kim; Bich-Yen Nguyen; Olivier Bonnin; Carlos Mazure; Satheesh Kuppurao; Chorng-Ping Chang; Tsu-Jae King Liu

Segmented-channel Si<sub>1</sub><sub>-</sub><sub>x</sub>Ge<sub>x</sub>/Si p-channel MOSFETs are fabricated using a conventional process, starting with corrugated Si<sub>1</sub><sub>-</sub><sub>x</sub>Ge<sub>x</sub>/Sisubstrates. As compared with the control devices fabricated using the same process but starting with a noncorrugated Si<sub>1</sub><sub>-</sub><sub>x</sub>Ge<sub>x</sub>/Si substrate, the segmented-channel MOSFETs show better layout efficiency (30% higher <i>I</i><sub>ON</sub> for <i>I</i><sub>OFF</sub> = 10 nA per micrometer layout width) due to enhanced hole mobility and dramatically reduced dependence of performance on layout width due to the geometrical regularity of the channel region.


Proceedings of SPIE | 2009

\hbox{Si}_{1 - x}\hbox{Ge}_{x}/\hbox{Si}

Shiyu Sun; Christopher Dennis Bencher; Yongmei Chen; Huixiong Dai; Man-Ping Cai; Jaklyn Jin; Pokhui Blanco; Liyan Miao; Ping Xu; Xumou Xu; James Yu; Raymond Hung; Shiany Oemardani; Osbert Chan; Chorng-Ping Chang; Chris Ngai

Self-Aligned Double patterning (SADP) technology has been identified as the main stream patterning technique for NAND FLASH manufacturers for 3xnm and beyond. This paper demonstrates the successful fabrication of 32nm halfpitch electrical testable NAND FLASH wordline structures using a 3-mask flow. This 3-mask flow includes one critical lithography step and two non-critical lithography steps. It uses a positive tone (spacer as mask) approach to create 32nm doped poly wordlines. Electrical measurements of line resistance are performed on these doped poly wordlines to demonstrate the capability of this patterning technique. Detailed results and critical process considerations, including lithography, deposition and etch, will be discussed in this paper.


international symposium on vlsi technology, systems, and applications | 2012

pMOSFETs Using Corrugated Substrates for Improved

C.-N Ni; Xinyu Fu; Naomi Yoshida; Osbert Chan; Miao Jin; Hao Chen; Steven Hung; Rajkumar Jakkaraju; S. Kesapragada; Christopher Lazik; Raymond Hung; Srinivas Gandikota; Chorng-Ping Chang; Adam Brand

Gate-first (GF) high-k metal gate (HKMG) for LSTP/LOP logic and DRAM periphery applications requires an efficient and low-cost effective work function (eWF) solution. We demonstrated TiAlN for pFET eWF tuning without appreciable EOT, Jg, and interface degradation. Hence TiAlN is shown to be a key enabler to realize process-friendly and cost-effective GF HKMG implementation.


Proceedings of SPIE | 2008

I_{\rm ON}

Motoya Okazaki; R. Maas; Sen-Hou Ko; Yufei Chen; Paul V. Miller; Mani Thothadri; Manjari Dutta; Chorng-Ping Chang; Abraham Anapolsky; Chris Lazik; Yuri Uritsky; Martin Jay Seamons; Deenesh Padhi; Wendy H. Yeh; Stephan Sinkwitz; Chris Ngai

The objective of this study was to examine the defect reduction effect of the wafer edge polishing step on the immersion lithography process. The experimental wafers were processed through a typical front end of line device manufacturing process and half of the wafers were processed with the wafer edge polishing just prior to the immersion lithography process. The experimental wafers were then run through two immersion lithography experiments and the defect adders on these wafers were compared and analyzed. The experimental results indicated a strong effect of the edge polishing process on reducing the particle migration from the wafer edge region to the wafer surface during the immersion lithography process.


symposium on vlsi technology | 2012

and Reduced Layout-Width Dependence

Naomi Yoshida; Xinyu Fu; Kun Xu; Yu Lei; Haichun Yang; Shiyu Sun; Hao Chen; Andrew Darlak; Ray Donohoe; Christopher Lazik; Rajkumar Jakkaraju; Atif Noori; Steven Hung; Igor Peidous; Chorng-Ping Chang; Adam Brand

This paper describes novel Co-Al metal fill capable of filling sub-10nm trenches. Co-Al fill shows advantages in threshold voltage (VTH) variation. The conductivity of the fill was evaluated using a Co-Al alloy conductance model. By demonstrating better VTH variability, superior conductivity and gap fill, Co-Al shows extendibility to the 11nm metal gate and beyond.


symposium on vlsi technology | 2014

Demonstration of 32nm half-pitch electrical testable NAND FLASH patterns using self-aligned double patterning

Naomi Yoshida; Keping Han; Peng-Fu Hsu; Matthew Beach; Xinliang Lu; Raymond Hung; Daxin Mao; Hao Chen; Wei Tang; Yu Lei; Jing Zhou; Atif Noori; Miao Jin; Kun Xu; A. Phatak; Shiyu Sun; Sajjad Hassan; Srinivas Gandikota; Chorng-Ping Chang; Adam Brand

This paper describes a novel scheme of metal gate integration to achieve precise threshold voltage (VTH) control and multiple VTH, by using metal composition and ion implantation (I/I) into work function metal (WFM). Moreover, WFM full fill is demonstrated with in situ barrier metal to satisfy the conductance requirement of sub-10 nm node gate.


Meeting Abstracts | 2008

Gate-first TiAlN P-gate electrode for cost effective high-k metal gate implementation

Naomi Yoshida; Xianmin Tang; Khaled Ahmed; Giuseppina Conti; Dave Liu; Melody P. Agustin; Steven Hung; Victor Ku; Osbert Chan; Robert Liang; Hao Chen; Rongjun Wang; Bo Zheng; Christopher Lazik; Miao Jin; Kishore Lavu; Chorng-Ping Chang; Tushar Mandrekar; Srinivas Gandikota

RF-PVD was investigated as a metal oxide cap deposition process to tune the effective work function in a gate first flow for high-k metal gate stacks. Samples with an aluminum oxide cap layer showed a large flat band voltage shift at minimal equivalent oxide thickness increase by about 0.1 nm. It was confirmed that RF-PVD induced no additional charge damage. Extended RF-PVD process runs also promised a robust process for high-k metal gate device manufacturing.


ieee silicon nanoelectronics workshop | 2016

Wafer edge polishing process for defect reduction during immersion lithography

Yu-Shiang Huang; Chih-Hao Huang; Chih-Hsiung Huang; Fang-Liang Lu; Da-Zhi Chang; Chung-Yi Lin; Sun-Rong Jan; Huang-Siang Lan; C. W. Liu; Yi-Chiau Huang; Hua Chung; Chorng-Ping Chang; Schubert S. Chu; Satheesh Kuppurao

Pseudomorphic Ge<sub>0.91</sub>Sn<sub>0.09</sub> on Ge on Si with strong photoluminescence and low defect density is used for p-MOSFET channels. The mobility of Ge<sub>0.91</sub>Sn<sub>0.09</sub> Quantum Well p-MOSFETs are higher than control Ge p-MOSFETs due to hole population in the GeSn wells. The 7.5% mobility enhancement on <;110> channel direction is observed using external transverse uniaxial tensile strain (~0.11%). The highest [Sn] of 9% in the channels grown by CVD, Pt SB S/D, high I<sub>on</sub>/I<sub>off</sub> ratio, and strain-enhanced mobility are obtained in this work.


ieee silicon nanoelectronics workshop | 2014

Replacement metal gate extendible to 11 nm technology

Chih-Yang Chang; Jie Zhou; Chi-Nung Ni; Osbert Chan; Shiyu Sun; Wesley Suen; Sherry Mings; Malcolm J. Bevan; Patricia M. Liu; Peter Hsieh; Chorng-Ping Chang; Raymond Hung

Different thicknesses of interfacial oxide and high-κ were used to study the effects of plasma-induced damage (PID) in NMOS transistors. The thickness of high-κ HfO<sub>2</sub> was varied from 15Å to 25Å. The thickness of the interfacial layer (IL) with N<sub>2</sub>O/H<sub>2</sub> was also varied from 5Å to 10Å. The threshold voltage (V<sub>th</sub>) shift was observed to be greater in the thinner oxide using the same plasma condition. There was no significant effect with different IL thickness between 5Å and 10Å.


224th ECS Meeting (October 27 – November 1, 2013) | 2013

Threshold voltage tuning by metal gate work function modulation for 10 nm CMOS integration and beyond

Bingxi Wood; Fareen Adeni Khaja; B. Colombeau; Shiyu Sun; Andrew M. Waite; Miao Jin; Hao Chen; Osbert Chan; Thirumal Thanigaivelan; Nilay Pradhan; Hans-Joachim Ludwig Gossmann; Chi-Nung Ni; Wesley Suen; Shashank Sharma; Venkataramana Chavva; Man-Ping Cai; Motoya Okazaki; Samuel Swaroop Munnangi; Chorng-Ping Chang; Abhilash J. Mayur; Naushad Variam; Adam Brand

Collaboration


Dive into the Chorng-Ping Chang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge