Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Emma Vecchio is active.

Publication


Featured researches published by Emma Vecchio.


symposium on vlsi technology | 2015

Gate-all-around NWFETs vs. triple-gate FinFETs: Junctionless vs. extensionless and conventional junction devices with controlled EWF modulation for multi-VT CMOS

A. Veloso; Geert Hellings; Moonju Cho; Eddy Simoen; K. Devriendt; V. Paraschiv; Emma Vecchio; Zheng Tao; J. J. Versluijs; L. Souriau; Harold Dekkers; S. Brus; Jef Geypen; P. Lagrain; Hugo Bender; Geert Eneman; P. Matagne; A. De Keersgieter; W. Fang; Nadine Collaert; Aaron Thean

We report a comprehensive evaluation of different device architectures from a device and circuit performance viewpoint: gate-all-around (GAA) nanowire (NW) FETs vs. triple-gate finFETs, both built using various doping schemes. GAA devices are obtained via a fins release process, high density compatible, at replacement metal gate (RMG) module, and outperform others per footprint. Junctionless (JL) GAA-NWFETs with excellent electrostatics and smaller IOFF values yield ring oscillators (RO) with substantially lower power dissipation and considerably longer BTI lifetime. Improved reliability is also obtained for extensionless vs. reference FETs with conventional junctions, at comparable device and circuit performance. In addition, a TiAl-based EWF-metal is introduced for the first time in a GAA configuration resulting in higher performing, low-VT, n-type GAA-NWFETs and single-MG 6T-SRAM cells. Noise results show no significant impact of device architecture on gate stack integrity and some benefit for JL and TiAl-based GAA-NWFETs.


symposium on vlsi technology | 2012

Implementing cubic-phase HfO 2 with κ-value ∼ 30 in low-V T replacement gate pMOS devices for improved EOT-Scaling and reliability

Lars-Ake Ragnarsson; Christoph Adelmann; Yuichi Higuchi; Karl Opsomer; A. Veloso; Soon Aik Chew; Erika Rohr; Emma Vecchio; Xiaoping Shi; K. Devriendt; F. Sebaai; Thomas Kauerauf; M. A. Pawlak; Tom Schram; Sven Van Elshocht; Naoto Horiguchi; Aaron Thean

Higher κ-value HfO<sub>2</sub> (κ~30) was evaluated in replacement metal gate pMOS devices. The higher-κ was achieved by doping and anneal of the HfO<sub>2</sub> causing crystallization into the cubic phase. The resulting gate-stack has up to 10<sup>3</sup> × lower gate-leakage current compared to a reference HfO<sub>2</sub>: J<sub>G</sub> at -1 V ~ 2 μA/cm<sup>2</sup> at EOT~9.7 Å. The better J<sub>G</sub> - EOT-scaling, result in performance and reliability improvements when normalized to the J<sub>G</sub>.


IEEE Transactions on Electron Devices | 2014

A Low-Power HKMG CMOS Platform Compatible With Dram Node 2× and Beyond

Romain Ritzenthaler; Tom Schram; Alessio Spessot; Christian Caillat; Marc Aoulaiche; Moon Ju Cho; K. B. Noh; Y. Son; Hoon Joo Na; Thomas Kauerauf; Bastien Douhard; Aftab Nazir; Soon Aik Chew; Alexey Milenin; Efrain Altamirano-Sanchez; Geert Schoofs; Johan Albert; Farid Sebai; Emma Vecchio; V. Paraschiv; Wilfried Vandervorst; Sun-Ghil Lee; Nadine Collaert; Pierre Fazan; Naoto Horiguchi; Aaron Thean

In this paper, a low-cost and low-leakage gate-first high-k metal-gate CMOS integration compatible with the high thermal budget used in a 2× node dynamic random access memory process flow is reported. The metal inserted polysilicon stack is based on HfO2 coupled with Al2O3 capping for pMOS devices, and with a TiN/Mg/TiN stack together with As ion implantation for nMOS. It is demonstrated that n and pMOS performance of 400 and 200 μA/μm can be obtained for an OFF-state current of 10-10 A/μm, while maintaining gate and junction leakages compatible with low-power applications. Reliability and matching properties are aligned with logic gate-stacks, and the proposed solution is outperforming the La-cap-based solutions in terms of thermal stability.


Journal of Micro-nanolithography Mems and Moems | 2013

Double patterning with dual hard mask for 28-nm node devices and below

Hubert Hody; V. Paraschiv; Emma Vecchio; Sabrina Locorotondo; Gustaf Winroth; Raja Athimulam; Werner Boullart

Abstract. A double patterning process resulting in amorphous silicon (a-Si) gate lines with a thickness of 80 nm and a lateral critical dimension <30  nm is reported. A full stack for a double patterning approach for etch transfer down to an Si layer, including a hard mask (HM) in which the line and cut patterning are performed, is presented. The importance of the HM in the success or failure of the exercise is evidenced. Once the suitable HM has been selected, the etch chemistry is shown to have a significant impact on the line width roughness (LWR) of the gate. Ultimately, remarkably low LWR could be achieved on gates exhibiting a straight profile. All the results shown in this paper have been obtained on 300-mm wafers.


symposium on vlsi technology | 2012

Process control & integration options of RMG technology for aggressively scaled devices

A. Veloso; Yuichi Higuchi; Soon Aik Chew; K. Devriendt; Lars-Ake Ragnarsson; F. Sebaai; Tom Schram; S. Brus; Emma Vecchio; Kristof Kellens; Erika Rohr; Geert Eneman; Eddy Simoen; Moonju Cho; V. Paraschiv; Y. Crabbe; Xiaoping Shi; Hilde Tielens; A. Van Ammel; Harold Dekkers; Paola Favia; Jef Geypen; Hugo Bender; A. Phatak; J. del Agua Borniquel; Kun Xu; M. Allen; C. Liu; T. Xu; W. S. Yoo

We report on aggressively scaled RMG-HKL devices, with tight low-V<sub>T</sub> distributions [σ(V<sub>Tsat</sub>) ~ 29mV (PMOS), ~ 49mV (NMOS) at L<sub>gate</sub>~35nm] achieved through controlled EWF-metal alloying for NMOS, and providing an in-depth overview of its enabling features: 1) physical mechanisms, model supported by TCAD simulations and analysis techniques such as TEM, EDS; 2) process optimizations implementation: oxygen sources reduction, control of RF-PVD TiAl/TiN ratio and reduced H<sub>gate</sub>, also impacting stress induced in the channel. Additional key features: 1) Al vs. W as fill-metal, with careful liner/barrier materials selection and tuning yielding well-behaved devices with tight R<sub>gate</sub> distributions down to L<sub>gate</sub>~20nm, and enabling both PMOS and NMOS low-VT values for high aspect-ratio gates (H<sub>gate</sub>~60nm, L<sub>gate</sub>≥30nm); 2) wet-etch vs. siconi clean for dummy-dielectric removal, with HfO<sub>2</sub> post-deposition N<sub>2</sub>-anneal resulting in substantial BTI improvement without EOT or low-field/peak mobility penalty, and good noise response.


Japanese Journal of Applied Physics | 2014

Thermal and plasma treatments for improved (sub-)1 nm equivalent oxide thickness planar and FinFET-based replacement metal gate high-k last devices and enabling a simplified scalable CMOS integration scheme

A. Veloso; G. Boccardi; Lars-Ake Ragnarsson; Yuichi Higuchi; H. Arimura; Jae Woo Lee; Eddy Simoen; Moon Ju Cho; Philippe Roussel; V. Paraschiv; Xiaoping Shi; Tom Schram; Soon Aik Chew; S. Brus; Anish Dangol; Emma Vecchio; F. Sebaai; Kristof Kellens; Nancy Heylen; K. Devriendt; Harold Dekkers; Annemie Van Ammel; Thomas Witters; Thierry Conard; Inge Vaesen; Olivier Richard; Hugo Bender; Raja Athimulam; T. Chiarella; Aaron Thean

We report on aggressively scaled replacement metal gate, high-k last (RMG-HKL) planar and multi-gate fin field-effect transistor (FinFET) devices, systematically investigating the impact of post high-k deposition thermal (PDA) and plasma (SF6) treatments on device characteristics, and providing a deeper insight into underlying degradation mechanisms. We demonstrate that: 1) substantially reduced gate leakage (JG) and noise can be obtained for both type of devices with PDA and F incorporation in the gate stack by SF6, without equivalent oxide thickness (EOT) penalty; 2) SF6 enables improved mobility and reduced interface trapped charge density (Nit) down to narrower fin devices [fin width (WFin) ≥ 5 nm], mitigating the impact of fin patterning and fin sidewall crystal orientations, while allowing a simplified dual-effective work function (EWF) CMOS scheme suitable for both device architectures; 3) PDA yields smaller, in absolute values, PMOS threshold voltage |VT|, and substantially improved reliability behavior due to reduction of bulk defects.


symposium on vlsi technology | 2016

Junctionless gate-all-around lateral and vertical nanowire FETs with simplified processing for advanced logic and analog/RF applications and scaled SRAM cells

A. Veloso; B. Parvais; Philippe Matagne; Eddy Simoen; Trong Huynh-Bao; V. Paraschiv; Emma Vecchio; K. Devriendt; Erik Rosseel; Monique Ercken; B. T. Chan; C. Delvaux; Efrain Altamirano-Sanchez; J. J. Versluijs; Zheng Tao; Samuel Suhard; S. Brus; Niamh Waldron; P. Lagrain; O. Richard; Hugo Bender; A. Chasin; B. Kaczer; Tsvetan Ivanov; S. Ramesh; K. De Meyer; Julien Ryckaert; Nadine Collaert; Aaron Thean

We report a comprehensive evaluation of junctionless (JL) vs. conventional inversion-mode (IM) gate-all-around (GAA) nanowire FETs (NWFETs) with the same lateral (L) configuration. Lower I<sub>OFF</sub> values and excellent electrostatics can be obtained with optimized NW doping for a given JL NW size (W<sub>NW</sub>≤25nm, H<sub>NW</sub>~22nm), with increased doping enabling ION improvement without I<sub>OFF</sub> penalty for W<sub>NW</sub> ≤10nm. These devices also appear as a viable option for analog/RF, showing similar speed and voltage gain, and reduced LF noise as compared to IM NWFETs. V<sub>T</sub> mismatch performance shows higher A<sub>VT</sub> with increased NW doping for JL NMOS, with less impact seen for PMOS and at smaller NWs. The JL concept is also demonstrated in vertical (V) GAA-NWFETs with in-situ doped Si epi NW pillars (d<sub>NW</sub>≥20-30nm), integrated on the same 300mm Si platform as lateral devices. Low I<sub>OFF</sub>, I<sub>G</sub>, and good electrostatics are achieved over a wide range of VNW arrays. Lastly, a novel SRAM design is proposed, taking advantage of the JL process simplicity, by vertically stacking two VNWFETs (n/n or p/p) to reduce SRAM area per bit by 39%.


ieee soi 3d subthreshold microelectronics technology unified conference | 2016

Challenges and opportunities of vertical FET devices using 3D circuit design layouts

A. Veloso; Trong Huynh-Bao; Erik Rosseel; V. Paraschiv; K. Devriendt; Emma Vecchio; C. Delvaux; B. T. Chan; Monique Ercken; Zheng Tao; W. Li; Efrain Altamirano-Sanchez; J. J. Versluijs; S. Brus; Philippe Matagne; Niamh Waldron; Julien Ryckaert; D. Mocuta; Nadine Collaert

We report on vertical nanowire FET devices (VNWFETs) with a gate-all-around (GAA) configuration, which offer promising opportunities to enable further CMOS scaling and increased circuit layout efficiency. They allow up to 30% denser SRAM bitcells with improved read and write stability, smaller minimum operating voltages (Vmin), and lower standby leakage values as compared to cells built with lateral GAA-NWFETs. Furthermore, vertical stacking of these devices also opens the path for SRAM 3D scaling, with a design presented here that can enable, with two levels of transistors in the vertical direction, to reduce by 39% the SRAM area per bit. The two vertically stacked VNWFETs are of the same doping type (n/n or p/p), and a lower complexity of implementation may be possible by taking advantage of the junctionless (JL) concept and its process simplicity, a topic also explored in this work.


international memory workshop | 2017

First Demonstration of SiGe Channel in Macaroni Geometry for Future 3D NAND

A. Arreghini; R. Delhougne; A. Subirats; Andriy Hikavyy; Emma Vecchio; Farid Sebaai; L. Breuil; Geert Van den bosch; A. Furnemont

We demonstrate for the first time a 20% [Ge] SiGe Macaroni channel in 3D NAND. Two alternative integration routes have been explored and High Pressure Annealing Process in D2 ambient has been applied to improve the channel and the channel-SiO2 interface. Electrical performance indicates that SiGe can improve channel conduction, with minimal impact on memory performance, but has intrinsically worse off-current properties than Si.


symposium on vlsi technology | 2017

First demonstration of vertically stacked ferroelectric Al doped HfO 2 devices for NAND applications

Karine Florent; Simone Lavizzari; L. Di Piazza; Mihaela Ioana Popovici; Emma Vecchio; Goedele Potoms; Guido Groeseneken; J. Van IHoudt

A 3D ferroelectric Al doped HfO2 device for NAND applications was fabricated for the first time. The polysilicon (poly-Si) channel, whose diameter ranges from 60 to 200 nm, was highly doped for a better understanding of the ferroelectric properties. Electrical results confirmed the presence of the ferroelectric phase with a coercive voltage (2Vc) of 6 V extracted from the hysteresis loop. The drain anneal was found to have a significant impact on HfO2 properties and needs to be reduced to preserve the ferroelectricity. Finally, reliability investigations showed an estimated time to failure of more than 10 years at 85 °C. This study lays the foundation for the fabrication of 3D ferroelectric field effect transistors (FeFET).

Collaboration


Dive into the Emma Vecchio's collaboration.

Top Co-Authors

Avatar

K. Devriendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Aaron Thean

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Brus

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Nadine Collaert

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

V. Paraschiv

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

A. Veloso

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Hugo Bender

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Soon Aik Chew

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Niamh Waldron

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge