Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Guruprasad Katti is active.

Publication


Featured researches published by Guruprasad Katti.


IEEE Transactions on Electron Devices | 2010

Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs

Guruprasad Katti; Michele Stucchi; K. De Meyer; Wim Dehaene

Three-dimensional ICs provide a promising option to build high-performance compact SoCs by stacking one or more chips vertically. Through silicon vias (TSVs) form an integral component of the 3-D IC technology by enabling vertical interconnections in 3-D ICs. TSV resistance, inductance, and capacitance need to be modeled to determine their impact on the performance of a 3-D circuit. In this paper, the RLC parameters of the TSV are modeled as a function of physical parameters and material characteristics. Models are validated with the numerical simulators like Raphael and Sdevice and with experimental measurements. The TSV RLC model is applied to predict the resistance, inductance, and capacitances of small-geometry TSV architectures. Finally, this paper also proposes a simplified lumped TSV model that can be used to simulate 3-D circuits.


international solid-state circuits conference | 2010

Design Issues and Considerations for Low-Cost 3-D TSV IC Technology

G. Van der Plas; Paresh Limaye; Igor Loi; Abdelkarim Mercha; Herman Oprins; C. Torregiani; Steven Thijs; Dimitri Linten; Michele Stucchi; Guruprasad Katti; Dimitrios Velenis; Vladimir Cherman; Bart Vandevelde; V. Simons; I. De Wolf; Riet Labie; Dan Perry; S. Bronckers; Nikolaos Minas; Miro Cupac; Wouter Ruythooren; J. Van Olmen; Alain Phommahaxay; M. de Potter de ten Broeck; A. Opdebeeck; M. Rakowski; B. De Wachter; M. Dehan; Marc Nelis; Rahul Agarwal

In this paper key design issues and considerations of a low-cost 3-D Cu-TSV technology are investigated. The impact of TSV on BEOL interconnect reliability is limited, no failures have been observed. The impact of TSV stress on MOS devices causes shifts, further analysis is required to understand their importance. Thermal hot spots in 3-D chip stacks cause temperature increases three times higher than in 2-D chips, necessitating a careful thermal floorplanning to avoid thermal failures. We have monitored for ESD during 3-D processing and have found no events take place, however careful further monitoring is required. The noise coupling between two tiers in a 3-D chip-stack is 20 dB lower than in a 2-D SoC, opening opportunities for increased mixed signal system performance. The impact on digital circuit performance of TSVs is accurately modeled with the presented RC model and digital gates can directly drive signals through TSVs at high speed and low power. Experimental results of a 3-D Network-on-Chip implementation demonstrate that the NoC concept can be extended from 2-D SoC to 3-D SoCs at low area (0.018 ) and power (3%) overhead.


international electron devices meeting | 2008

3D stacked IC demonstration using a through Silicon Via First approach

J. Van Olmen; Abdelkarim Mercha; Guruprasad Katti; Cedric Huyghebaert; J. Van Aelst; E. Seppala; Zhao Chao; S. Armini; Jan Vaes; Ricardo Cotrin Teixeira; M. van Cauwenberghe; Patrick Verdonck; K. Verhemeldonck; Anne Jourdain; Wouter Ruythooren; M. de Potter de ten Broeck; A. Opdebeeck; T. Chiarella; B. Parvais; I. Debusschere; Thomas Hoffmann; B. De Wachter; Wim Dehaene; Michele Stucchi; M. Rakowski; Philippe Soussan; R. Cartuyvels; Eric Beyne; S. Biesemans; Bart Swinnen

We report for the first time the demonstration of 3D integrated circuits obtained by die-to-die stacking using Cu Through Silicon Vias (TSV). The Cu TSV process is inserted between contact and M1 of our reference 0.13 mum CMOS process on 200 mm wafers. The top die is thinned down to 25 mum and bonded to the landing wafer by Cu-Cu thermo-compression. Both top and landing wafers contain CMOS finished at M2 to evaluate the process impact both FEOL and BEOL. The results confirm no degradation of the FEOL performance. The functionality of various ring oscillator topologies that include inverters distributed over both top and bottom dies connected through TSVs demonstrates excellent chip integrity after the TSV and 3D stacking process.


Proceedings of the IEEE | 2009

3-D Technology Assessment: Path-Finding the Technology/Design Sweet-Spot

Paul Marchal; Bruno Bougard; Guruprasad Katti; Michele Stucchi; Wim Dehaene; Antonis Papanikolaou; Diederik Verkest; Bart Swinnen; Eric Beyne

It is widely acknowledged that three-dimensional (3-D) technologies offer numerous opportunities for system design. In recent years, significant progress has been made on these 3-D technologies, and they have become probably the best hope for carrying the semiconductor industry beyond the path of Moores law. However, a clear roadmap is missing to successfully introduce this 3-D technology onto the market. Today, a plurality of 3-D technology options exists, which requires different design and test strategies. To crystallize the many technology options in a few mainstream technologies, it is mandatory to coexplore both technology and design options. The contribution of this paper is to introduce a novel path finding methodology to untangle the many intertwined design/technology options. This holistic approach will be applied on a representative 3-D case study. Initial results demonstrate the benefits of the proposed path-finding methodology to steer the technology development and fine-tune design strategies.


IEEE Electron Device Letters | 2010

Through-Silicon-Via Capacitance Reduction Technique to Benefit 3-D IC Performance

Guruprasad Katti; Michele Stucchi; Jan Van Olmen; Kristin De Meyer; Wim Dehaene

Through-silicon via (TSV) constitutes a key component interconnecting adjacent dies vertically to form 3-D integrated circuits. In this letter, we propose a method to exploit the TSV C-V behavior in a p-silicon substrate to achieve minimum TSV capacitance during 3-D circuit operation. The nature of the TSV C-V characteristics depends both on TSV architecture and TSV manufacturing process, and both these factors should be optimized to obtain the minimum depletion capacitance in the desired operating voltage region. Measured C-V characteristics of the TSV demonstrate the effectiveness of the method.


international electron devices meeting | 2009

3D stacked ICs using Cu TSVs and Die to Wafer Hybrid Collective bonding

Guruprasad Katti; Abdelkarim Mercha; J. Van Olmen; Cedric Huyghebaert; Anne Jourdain; Michele Stucchi; M. Rakowski; I. Debusschere; Philippe Soussan; Wim Dehaene; K. De Meyer; Youssef Travaly; Eric Beyne; S. Biesemans; Bart Swinnen

In this paper we demonstrate functional 3D circuits obtained by a 3D Stacked IC approach using both Cu Through Silicon Vias (TSV) First and cost effective solution Die-to-Wafer Hybrid Collective bonding. The Cu TSV-First process is inserted between contact and M1. The top die is thinned down to 25µm and bonded to the landing wafer by Hybrid Bonding. Measurements and simulations of the power delay trade-offs of various 3D Ring Oscillator are provided as a demonstration of the relevance of such process route and of the design/simulation capabilities.


international conference on microelectronic test structures | 2010

Test structures for characterization of through silicon vias

Michele Stucchi; Dan Perry; Guruprasad Katti; Wim Dehaene

As silicon technology reaches extreme sub-um dimensions, the industry has reached for “more than Moore” solutions to enable advancements in integration, lower system cost, and improve packaging footprints. Probably the best known of the more-than-Moore solutions is 3D chip stacking using through silicon vias (TSVs). This technology requires accurate characterization of the TSV, the thinned silicon, and the stacked die. Our paper deals with TSV characterization by means of specially designed test structures.


2009 IEEE International Conference on 3D System Integration | 2009

3D Stacked IC demonstrator using Hybrid Collective Die-to-Wafer bonding with copper Through Silicon Vias (TSV)

J. Van Olmen; J. Coenen; Wim Dehaene; K. De Meyer; Cedric Huyghebaert; Anne Jourdain; Guruprasad Katti; Abdelkarim Mercha; M. Rakowski; Michele Stucchi; Youssef Travaly; Eric Beyne; Bart Swinnen

In this paper we demonstrate functional 3D circuits obtained by a 3D Stacked IC approach using Die-to-Wafer Hybrid Collective bonding with Cu Through Silicon Vias (TSV). The Cu TSV process is inserted between contact and M1 of our reference 130nm CMOS process on 200mm wafers. The top die is thinned down to 25µm and bonded to the landing wafer by a combination of polymer bonding and copper to copper thermocompression bonding. Top and landing wafers contain CMOS finished with 2 levels of metal in Copper/Oxide. Ring oscillators consisting of inverters distributed over both top and bottom dies interconnected through up to 40 TSVs are used to demonstrate the process. This paper focuses on integration issues solved during process development and electrical characterization of the obtained TSVs.


international interconnect technology conference | 2010

Temperature dependent electrical characteristics of through-si-via (TSV) interconnections

Guruprasad Katti; Abdelkarim Mercha; Michele Stucchi; Zs. Tokei; Dimitrios Velenis; J. Van Olmen; Cedric Huyghebaert; Anne Jourdain; M. Rakowski; I. Debusschere; Philippe Soussan; Herman Oprins; Wim Dehaene; K. De Meyer; Youssef Travaly; Eric Beyne; S. Biesemans; Bart Swinnen

In this paper, we investigate the electrical behavior of TSV with increasing temperatures (25–150°C). TSV capacitance, leakage current and TSV resistance with varying temperatures are reported. TSV C-V characteristics are analyzed to extract the oxide charges. It is confirmed that the depletion behavior of TSV can be exploited to reduce TSV capacitance even at higher temperatures. In addition, lumped RC model of the TSV for circuit simulations is enhanced by incorporating measured TSV resistance and capacitance change due to temperature. The results are corroborated with the 2D/3D Ring Oscillator (RO) measurements at different temperatures.


IEEE Electron Device Letters | 2011

Temperature-Dependent Modeling and Characterization of Through-Silicon Via Capacitance

Guruprasad Katti; Michele Stucchi; Dimitrios Velenis; Bart Soree; K. De Meyer; Wim Dehaene

A semianalytical model of the through-silicon via (TSV) capacitance for elevated operating temperatures is derived and verified with electrical measurements. The effect of temperature on the increase in TSV capacitance over different technology parameters is explored, and it is shown that higher oxide thickness reduces the impact of temperature rise on TSV capacitance, while with low doped substrates, which are instrumental for reducing the TSV capacitance, the sensitivity of TSV capacitance to temperature is large and cannot be ignored.

Collaboration


Dive into the Guruprasad Katti's collaboration.

Top Co-Authors

Avatar

Michele Stucchi

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Wim Dehaene

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Eric Beyne

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Abdelkarim Mercha

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Dimitrios Velenis

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

J. Van Olmen

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Bart Swinnen

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

K. De Meyer

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Youssef Travaly

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Anne Jourdain

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge