Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michele Stucchi is active.

Publication


Featured researches published by Michele Stucchi.


IEEE Transactions on Electron Devices | 2010

Electrical Modeling and Characterization of Through Silicon via for Three-Dimensional ICs

Guruprasad Katti; Michele Stucchi; K. De Meyer; Wim Dehaene

Three-dimensional ICs provide a promising option to build high-performance compact SoCs by stacking one or more chips vertically. Through silicon vias (TSVs) form an integral component of the 3-D IC technology by enabling vertical interconnections in 3-D ICs. TSV resistance, inductance, and capacitance need to be modeled to determine their impact on the performance of a 3-D circuit. In this paper, the RLC parameters of the TSV are modeled as a function of physical parameters and material characteristics. Models are validated with the numerical simulators like Raphael and Sdevice and with experimental measurements. The TSV RLC model is applied to predict the resistance, inductance, and capacitances of small-geometry TSV architectures. Finally, this paper also proposes a simplified lumped TSV model that can be used to simulate 3-D circuits.


international solid-state circuits conference | 2010

Design Issues and Considerations for Low-Cost 3-D TSV IC Technology

G. Van der Plas; Paresh Limaye; Igor Loi; Abdelkarim Mercha; Herman Oprins; C. Torregiani; Steven Thijs; Dimitri Linten; Michele Stucchi; Guruprasad Katti; Dimitrios Velenis; Vladimir Cherman; Bart Vandevelde; V. Simons; I. De Wolf; Riet Labie; Dan Perry; S. Bronckers; Nikolaos Minas; Miro Cupac; Wouter Ruythooren; J. Van Olmen; Alain Phommahaxay; M. de Potter de ten Broeck; A. Opdebeeck; M. Rakowski; B. De Wachter; M. Dehan; Marc Nelis; Rahul Agarwal

In this paper key design issues and considerations of a low-cost 3-D Cu-TSV technology are investigated. The impact of TSV on BEOL interconnect reliability is limited, no failures have been observed. The impact of TSV stress on MOS devices causes shifts, further analysis is required to understand their importance. Thermal hot spots in 3-D chip stacks cause temperature increases three times higher than in 2-D chips, necessitating a careful thermal floorplanning to avoid thermal failures. We have monitored for ESD during 3-D processing and have found no events take place, however careful further monitoring is required. The noise coupling between two tiers in a 3-D chip-stack is 20 dB lower than in a 2-D SoC, opening opportunities for increased mixed signal system performance. The impact on digital circuit performance of TSVs is accurately modeled with the presented RC model and digital gates can directly drive signals through TSVs at high speed and low power. Experimental results of a 3-D Network-on-Chip implementation demonstrate that the NoC concept can be extended from 2-D SoC to 3-D SoCs at low area (0.018 ) and power (3%) overhead.


IEEE Journal of Solid-state Circuits | 2006

Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies

Evelyn Grossar; Michele Stucchi; Karen Maex; Wim Dehaene

SRAM cell read stability and write-ability are major concerns in nanometer CMOS technologies, due to the progressive increase in intra-die variability and Vdd scaling. This paper analyzes the read stability N-curve metrics and compares them with the commonly used static noise margin (SNM) metric defined by Seevinck. Additionally, new write-ability metrics derived from the same N-curve are introduced and compared with the traditional write-trip point definition. Analytical models of all these metrics are developed. It is demonstrated that the new metrics provide additional information in terms of current, which allows designing a more robust and stable cell. By taking into account this current information, Vdd scaling is no longer a limiting factor for the read stability of the cell. Finally, these metrics are used to investigate the impact of the intra-die variability on the stability of the cell by using a statistically-aware circuit optimization approach and the results are compared with the worst-case or corner-based design


international electron devices meeting | 2008

3D stacked IC demonstration using a through Silicon Via First approach

J. Van Olmen; Abdelkarim Mercha; Guruprasad Katti; Cedric Huyghebaert; J. Van Aelst; E. Seppala; Zhao Chao; S. Armini; Jan Vaes; Ricardo Cotrin Teixeira; M. van Cauwenberghe; Patrick Verdonck; K. Verhemeldonck; Anne Jourdain; Wouter Ruythooren; M. de Potter de ten Broeck; A. Opdebeeck; T. Chiarella; B. Parvais; I. Debusschere; Thomas Hoffmann; B. De Wachter; Wim Dehaene; Michele Stucchi; M. Rakowski; Philippe Soussan; R. Cartuyvels; Eric Beyne; S. Biesemans; Bart Swinnen

We report for the first time the demonstration of 3D integrated circuits obtained by die-to-die stacking using Cu Through Silicon Vias (TSV). The Cu TSV process is inserted between contact and M1 of our reference 0.13 mum CMOS process on 200 mm wafers. The top die is thinned down to 25 mum and bonded to the landing wafer by Cu-Cu thermo-compression. Both top and landing wafers contain CMOS finished at M2 to evaluate the process impact both FEOL and BEOL. The results confirm no degradation of the FEOL performance. The functionality of various ring oscillator topologies that include inverters distributed over both top and bottom dies connected through TSVs demonstrates excellent chip integrity after the TSV and 3D stacking process.


Proceedings of the IEEE | 2009

3-D Technology Assessment: Path-Finding the Technology/Design Sweet-Spot

Paul Marchal; Bruno Bougard; Guruprasad Katti; Michele Stucchi; Wim Dehaene; Antonis Papanikolaou; Diederik Verkest; Bart Swinnen; Eric Beyne

It is widely acknowledged that three-dimensional (3-D) technologies offer numerous opportunities for system design. In recent years, significant progress has been made on these 3-D technologies, and they have become probably the best hope for carrying the semiconductor industry beyond the path of Moores law. However, a clear roadmap is missing to successfully introduce this 3-D technology onto the market. Today, a plurality of 3-D technology options exists, which requires different design and test strategies. To crystallize the many technology options in a few mainstream technologies, it is mandatory to coexplore both technology and design options. The contribution of this paper is to introduce a novel path finding methodology to untangle the many intertwined design/technology options. This holistic approach will be applied on a representative 3-D case study. Initial results demonstrate the benefits of the proposed path-finding methodology to steer the technology development and fine-tune design strategies.


2009 IEEE International Conference on 3D System Integration | 2009

Impact of 3D design choices on manufacturing cost

Dimitrios Velenis; Michele Stucchi; Erik Jan Marinissen; Bart Swinnen; Eric Beyne

The available options in 3D IC design and manufacturing have different impact on the cost of a 3D System-on-Chip. Using the 3D cost model developed at IMEC, the cost of different system integration options is analyzed and the cost effectiveness of different technology solutions is demonstrated. The cost model is based on the IMEC 3D integration process flows and includes the cost of manufacturing equipment, fabrication facilities, personnel, and materials. Using the IMEC 3D cost model, the cost of various 3D stacking strategies is compared to single die (i.e. 2D) integration. In addition, the effect on cost of different Through-Silicon-Via (TSV) manufacturing technologies is evaluated. The effectiveness of different 3D testing strategies and their impact on system cost is also investigated.


IEEE Electron Device Letters | 2010

Through-Silicon-Via Capacitance Reduction Technique to Benefit 3-D IC Performance

Guruprasad Katti; Michele Stucchi; Jan Van Olmen; Kristin De Meyer; Wim Dehaene

Through-silicon via (TSV) constitutes a key component interconnecting adjacent dies vertically to form 3-D integrated circuits. In this letter, we propose a method to exploit the TSV C-V behavior in a p-silicon substrate to achieve minimum TSV capacitance during 3-D circuit operation. The nature of the TSV C-V characteristics depends both on TSV architecture and TSV manufacturing process, and both these factors should be optimized to obtain the minimum depletion capacitance in the desired operating voltage region. Measured C-V characteristics of the TSV demonstrate the effectiveness of the method.


international electron devices meeting | 2009

3D stacked ICs using Cu TSVs and Die to Wafer Hybrid Collective bonding

Guruprasad Katti; Abdelkarim Mercha; J. Van Olmen; Cedric Huyghebaert; Anne Jourdain; Michele Stucchi; M. Rakowski; I. Debusschere; Philippe Soussan; Wim Dehaene; K. De Meyer; Youssef Travaly; Eric Beyne; S. Biesemans; Bart Swinnen

In this paper we demonstrate functional 3D circuits obtained by a 3D Stacked IC approach using both Cu Through Silicon Vias (TSV) First and cost effective solution Die-to-Wafer Hybrid Collective bonding. The Cu TSV-First process is inserted between contact and M1. The top die is thinned down to 25µm and bonded to the landing wafer by Hybrid Bonding. Measurements and simulations of the power delay trade-offs of various 3D Ring Oscillator are provided as a demonstration of the relevance of such process route and of the design/simulation capabilities.


international electron devices meeting | 1999

Silicide induced pattern density and orientation dependent transconductance in MOS transistors

An Steegen; Michele Stucchi; A. Lauwers; Karen Maex

For the first time, the influence of the mechanical stress in the transistor channel, induced by the silicide on the source(S)/drain(D) areas, on the transconductance of a MOS transistor has been studied. When scaling down the S/D dimensions, the transconductance can change up to 20% as a result of the increasing stress. This effect is channel orientation dependent. In order to keep the transconductance variation as low as possible, the formation of a low stress silicide is essential.


international conference on microelectronic test structures | 2010

Test structures for characterization of through silicon vias

Michele Stucchi; Dan Perry; Guruprasad Katti; Wim Dehaene

As silicon technology reaches extreme sub-um dimensions, the industry has reached for “more than Moore” solutions to enable advancements in integration, lower system cost, and improve packaging footprints. Probably the best known of the more-than-Moore solutions is 3D chip stacking using through silicon vias (TSVs). This technology requires accurate characterization of the TSV, the thinned silicon, and the stacked die. Our paper deals with TSV characterization by means of specially designed test structures.

Collaboration


Dive into the Michele Stucchi's collaboration.

Top Co-Authors

Avatar

Eric Beyne

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Wim Dehaene

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Gerald Beyer

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

David De Roest

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Zsolt Tokei

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Kristof Croes

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Bart Nauwelaers

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Guruprasad Katti

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Hassan Ymeri

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge