Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroaki Nambu is active.

Publication


Featured researches published by Hiroaki Nambu.


international solid-state circuits conference | 1998

A 1.8 ns access, 550 MHz 4.5 Mb CMOS SRAM

Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; Yasuhiro Fujimura; Kazumasa Ando; Takeshi Kusunoki; Kunihiko Yamaguchi; Noriyuki Homma

High-speed, high-density 4-4.5Mb CMOS cache SRAMs do not have speed comparable to that of a 4.5Mb BiCMOS SRAM. This 4.5Mb CMOS SRAM has access time equivalent to that of a BiCMOS SRAM. Key techniques for achieving this speed are a decoder using source-coupled-logic (SCL) circuits combined with reset circuits, a sense amplifier with nMOS source followers, and a sense-amplifier activation-pulse generator that uses a duplicate memory-cell array.


IEEE Transactions on Electron Devices | 1991

Soft-error characteristics in bipolar memory cells with small critical charge

Youji Idei; Noriyuki Homma; Hiroaki Nambu; Y. Sakurai

The alpha-particle-induced soft-error mechanism in a high-speed bipolar static RAM (SRAM) which is used for mainframe computers is investigated using a three-dimensional (3-D) device and a circuit simulator. It is shown that a constant critical charge for the memory cell does not exist. This is because the memory cells soft-error sensitivities to the charges collected at the base and collector of the cell transistor are different due to the difference in time constants of the base and collector. To take into account this sensitivity difference in the soft-error rate simulation, an effective-charge model is proposed. This model incorporates weight coefficients that express the memory cells soft-error sensitivities to the charges collected at the base and collector. Accelerated soft-error rates of the 4-kb SRAMs are simulated using the effective-charge model. >


IEEE Journal of Solid-state Circuits | 1992

High-speed sensing techniques for ultrahigh-speed SRAMs

Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Noriyuki Homma; Kunihiko Yamaguchi; T. Hiramoto; Nobuo Tamba; M. Odaka; K. Watanabe; T. Ikeda; K. Ohhata; Y. Sakurai

Two high-speed sensing techniques suitable for ultrahigh-speed SRAMs are proposed. These techniques can reduce a 64-kb SRAM access time to 71 approximately 89% of that of conventional high-speed bipolar SRAMs. The techniques use a small CMOS memory cell instead of the bipolar memory cell that has often been used in conventional bipolar SRAMs for cache and control memories of mainframe computers. Therefore, the memory cell size can also be reduced to 26 approximately 43% of that of conventional cells. A 64-kb SRAM fabricated with one of the sensing techniques using 0.5- mu m BiCMOS technology achieved a 1.5-ns access time with a 78- mu m/sup 2/ memory cell size. The techniques are especially useful in the development of both ultrahigh-speed and high-density SRAMs, which have been used as cache and control memories of mainframe computers. >


IEEE Journal of Solid-state Circuits | 1995

A 0.65-ns, 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM

Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Toru Masuda; Keiichi Higeta; Masayuki Ohayashi; Masami Usami; Kunihiko Yamaguchi; T. Kikuchi; T. Ikeda; K. Ohhata; Takeshi Kusunoki; Noriyuki Homma

An ultrahigh-speed 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM with 0.65-ns address-access time, 0.80-ns write-pulse width, and 30.24-/spl mu/m/sup 2/ memory cells has been developed using 0.3-/spl mu/m BiCMOS technology. Two key techniques for achieving ultrahigh speed are an ECL decoder/driver circuit with a BiCMOS inverter and a write-pulse generator with a replica memory cell. These circuit techniques can reduce access time and write-pulse width of the 72-kb RAM macro to 71% and 58% of those of RAM macros with conventional circuits. In order to reduce crosstalk noise for CMOS memory-cell arrays driven at extremely high speeds, a twisted bit-line structure with a normally on MOS equalizer is proposed. These techniques are especially useful for realizing ultrahigh-speed, high-density SRAMs, which have been used as cache and control storages in mainframe computers. >


IEEE Journal of Solid-state Circuits | 1992

A 1.5-ns access time, 78- mu m/sup 2/ memory-cell size, 64-kb ECL-CMOS SRAM

Kunihiko Yamaguchi; Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Noriyuki Homma; T. Hiramoto; Nobuo Tamba; K. Watanabe; M. Odaka; T. Ikeda; K. Ohhata; Y. Sakurai

A 1.5-ns access time, 78- mu m/sup 2/ memory-cell size, 64-kb ECL-CMOS SRAM has been developed. This high-performance device is achieved by using a novel ECL-CMOS SRAM circuit technique: a combination of CMOS cell arrays and ECL word drivers and write circuits. These ECL word drivers and write circuits drive the CMOS cell arrays directly without any intermediate MOS level converter. In addition to the ultrahigh-speed access time and relatively small memory-cell size, a very short write-pulse width of 0.8 ns and sufficient soft-error immunity are obtained. This ECL-CMOS SRAM circuit technique is especially useful for realizing ultrahigh-speed high-density SRAMs, which have been used as cache and control storages of mainframe computers. >


symposium on vlsi circuits | 1998

A 0.9-ns-access, 700-MHz SRAM macro using a configurable organization technique with an automatic timing adjuster

Kazumasa Ando; Keiichi Higeta; Yasuhiro Fujimura; Kazutaka Mori; Michiaki Nakayama; Hiroaki Nambu; Kazuhisa Miyamoto; Kunihiko Yamaguchi

The key to improving the performance of a single chip processor is to incorporate many varieties of SRAM macros with a word/bit-flexible configuration. To improve the performance even more, a configurable organization technique featuring a leaf cell design is proposed. In applying the technique, the timing design became too critical for the high-performance processor. An automatic timing adjuster is thus proposed to adjust the sense amplifier activation timing automatically in each organization. In addition, a low Vth MOS transistor is applied in order to improve access time. To overcome the increase in current leakage due to a low Vth, a back-bias control circuit is also proposed. These techniques in conjunction with a 0.25 /spl mu/m CMOS process make it possible to achieve a 0.9 ns access, 700 MHz SRAM macro.


symposium on vlsi circuits | 2002

Programmable and automatically-adjustable sense-amplifier activation scheme and multi-reset address-driven decoding scheme for high-speed reusable SRAM core

Takeshi Suzuki; Shigeru Nakahara; S. Iwahashi; Keiichi Higeta; K. Kanetani; Hiroaki Nambu; M. Yoshida; Kunihiko Yamaguchi

Describes novel schemes developed to meet the demand for a reusable embedded SRAM core for application to a variety of SOC designs. PAS optimizes sense-amplifier activation timing by using the combination of a program and automatic control. MRAD minimizes timing-overhead by reducing the fluctuation of path-to-path delay. These schemes experimentally demonstrated a wide-operation range of 0.5 to 1.4 V and an access time of 600 ps.


symposium on vlsi circuits | 1994

A 0.65ns, 72kb Ecl-cmos Ram Macro For A 1mb Sram

Hiroaki Nambu; K. Kanetani; Y. Idei; T. Masuda; Keiichi Higeta; M. Ohayashi; M. Usami; Kunihiko Yamaguchi; T. Kikuchi; T. Ikeda; K. Ohhata; T. Kusunoki; N. Homma

An ultrahigh-speed 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM with 0.65-ns address-access time, 0.80-ns write-pulse width, and 30.24-μm 2 memory cells has been developed using 0.3-μm BiCMOS technology. Two key techniques for achieving ultrahigh speed are an ECL decoder/driver circuit with a BiCMOS inverter and a write-pulse generator with a replica memory cell. These circuit techniques can reduce access time and write-pulse width of the 72-kb RAM macro to 71 % and 58 % of those of RAM macros with conventional circuits. In order to reduce crosstalk noise for CMOS memory-cell arrays driven at extremely high speeds, a twisted bit-line structure with a normally on MOS equalizer is proposed. These techniques are especially useful for realizing ultrahigh-speed, high-density SRAMs, witch have been used as cache and control storages in mainframe computers


bipolar/bicmos circuits and technology meeting | 1992

Noise reduction techniques for an ECL-CMOS RAM with a 2 ns write cycle time

K. Ohhata; Yoshiaki Sakurai; Hiroaki Nambu; K. Kanetani; Youji Idei; T. Hiramoto; N. Tamba; Kunihiko Yamaguchi; M. Odaka; K. Watanabe; T. Ikeda; N. Homma

An ultra-high-speed ECL-CMOS static RAM (SRAM) with a cycle time of 2 ns has been developed. To achieve fast cycle time, three noise reduction techniques are proposed: which are a noise reduction clamp circuit for reducing the Y-select signal noise; a critical damping emitter follower for the overshoot noise; and a twisted-bit line structure with a normally on equalizer for the bit line crosstalk. The authors describe the noise generation mechanisms and the operation of circuits using each of the techniques. Experimental results are also described.<<ETX>>


symposium on vlsi circuits | 1999

A 550-ps access, 900-MHz, 1-Mb ECL-CMOS SRAM

Hiroaki Nambu; K. Kanetani; K. Yamasaki; Keiichi Higeta; M. Usami; M. Nishiyama; K. Ohhata; F. Arakawa; T. Kusunoki; Kunihiko Yamaguchi; N. Homma

An ultrahigh-speed 1-Mb emitter-coupled logic (ECL)-CMOS SRAM with 550-ps clock-access time, 900-MHz operating frequency, and 12-/spl mu/m/sup 2/ memory cells has been developed using 0.2-/spl mu/m BiCMOS technology. Three key techniques for achieving the ultrahigh speed are a BiCMOS word decoder/driver with an nMOS level-shift circuit, a sense amplifier with a voltage-clamp circuit, and a BiCMOS write circuit with a variable-impedance bitline load. The proposed word decoder/driver and sense amplifier can reduce the delay times of the circuits to 54% and 53% of those of conventional circuits. The BiCMOS write circuit can reduce the power dissipation of the circuit by 74% without sacrificing writing speed. These techniques are especially useful for realizing ultrahigh-spaced high-density SRAMs, which will be used as cache and control memories in mainframe computers.

Collaboration


Dive into the Hiroaki Nambu's collaboration.

Researchain Logo
Decentralizing Knowledge