Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takeshi Kusunoki is active.

Publication


Featured researches published by Takeshi Kusunoki.


international solid-state circuits conference | 1998

A 1.8 ns access, 550 MHz 4.5 Mb CMOS SRAM

Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; Yasuhiro Fujimura; Kazumasa Ando; Takeshi Kusunoki; Kunihiko Yamaguchi; Noriyuki Homma

High-speed, high-density 4-4.5Mb CMOS cache SRAMs do not have speed comparable to that of a 4.5Mb BiCMOS SRAM. This 4.5Mb CMOS SRAM has access time equivalent to that of a BiCMOS SRAM. Key techniques for achieving this speed are a decoder using source-coupled-logic (SCL) circuits combined with reset circuits, a sense amplifier with nMOS source followers, and a sense-amplifier activation-pulse generator that uses a duplicate memory-cell array.


IEEE Journal of Solid-state Circuits | 1995

A 0.65-ns, 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM

Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Toru Masuda; Keiichi Higeta; Masayuki Ohayashi; Masami Usami; Kunihiko Yamaguchi; T. Kikuchi; T. Ikeda; K. Ohhata; Takeshi Kusunoki; Noriyuki Homma

An ultrahigh-speed 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM with 0.65-ns address-access time, 0.80-ns write-pulse width, and 30.24-/spl mu/m/sup 2/ memory cells has been developed using 0.3-/spl mu/m BiCMOS technology. Two key techniques for achieving ultrahigh speed are an ECL decoder/driver circuit with a BiCMOS inverter and a write-pulse generator with a replica memory cell. These circuit techniques can reduce access time and write-pulse width of the 72-kb RAM macro to 71% and 58% of those of RAM macros with conventional circuits. In order to reduce crosstalk noise for CMOS memory-cell arrays driven at extremely high speeds, a twisted bit-line structure with a normally on MOS equalizer is proposed. These techniques are especially useful for realizing ultrahigh-speed, high-density SRAMs, which have been used as cache and control storages in mainframe computers. >


international solid-state circuits conference | 2004

A low-jitter 16:1 MUX and a high-sensitivity 1:16 DEMUX with integrated 39.8 to 43GHz VCO for OC-768 communication systems

Keiki Watanabe; Akio Koyama; T. Harada; Tatsuhiro Aida; Atsushi Ito; Tomoo Murata; Hiroyuki Yoshioka; Masahito Sonehara; Hiroki Yamashita; Kyosuke Ishikawa; Masahiro Ito; Nobuhiro Shiramizu; Takahiro Nakamura; K. Ohhata; Fumihiko Arakawa; Takeshi Kusunoki; H. Chiba; Tsutomu Kurihara; Mamoru Kuraishi

A fully integrated 39.8 to 43Gb/s OC-768 16:1 MUX/DEMUX chipset is implemented in a 0.18/spl mu/m BiCMOS process. Full-rate operation is realized with an on-chip VCO, and the chipset dissipates 11.6W. The measured output jitter of the packaged MUX is 630fs, and the sensitivity of DEMUX is 31 mV/sub PP/ single-ended with a BER <10/sup -12/.


IEEE Journal of Solid-state Circuits | 2000

Power reduction techniques for a 1-Mb ECL-CMOS SRAM with an access time of 550 ps and an operating frequency of 900 MHz

K. Ohhata; F. Arakawa; Takeshi Kusunoki; Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; M. Nishiyama; Kunihiko Yamaguchi; Noriyuki Homma; A. Hotta

This paper describes power reduction circuit techniques in an ultra-high-speed emitter-coupled logic (ECL)-CMOS SRAM. Introduction of a 0.25-/spl mu/m MOS transistor allows a Y decoder and a bit-line driver to be composed of CMOS circuits, resulting in a power reduction of 34%. Moreover, a variable-impedance load has been proposed to reduce cycle time. A 1-Mb ECL-CMOS SRAM was developed by using these circuit techniques and 0.2-/spl mu/m BiCMOS technology. The fabricated SRAM has an ultrafast access time of 550 ps and a high operating frequency of 900 MHz with a power dissipation of 43 W.


IEEE Journal of Solid-state Circuits | 2000

A 550-ps access 900-MHz 1-Mb ECL-CMOS SRAM

Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; M. Nishiyama; K. Ohhata; F. Arakawa; Takeshi Kusunoki; Kunihiko Yamaguchi; A. Hotta; Noriyuki Homma

An ultrahigh-speed 1-Mb emitter-coupled logic (ECL)-CMOS SRAM with 550-ps clock-access time, 900-MHz operating frequency, and 12-/spl mu/m/sup 2/ memory cells has been developed using 0.2-/spl mu/m BiCMOS technology. Three key techniques for achieving the ultrahigh speed are a BiCMOS word decoder/driver with an nMOS level-shift circuit, a sense amplifier with a voltage-clamp circuit, and a BiCMOS write circuit with a variable-impedance bitline load. The proposed word decoder/driver and sense amplifier can reduce the delay times of the circuits to 54% and 53% of those of conventional circuits. The BiCMOS write circuit can reduce the power dissipation of the circuit by 74% without sacrificing writing speed. These techniques are especially useful for realizing ultrahigh-spaced high-density SRAMs, which will be used as cache and control memories in mainframe computers.


radio frequency integrated circuits symposium | 2004

A fully integrated 39.8-/43-GHz VCO - featuring wide tuning range and low temperature drift - for single-chip MUX/DEMUX LSIs

Takahiro Nakamura; Toru Masuda; Kenichi Ohhata; Keiki Watanabe; Hiroyuki Yoshioka; Takeshi Kusunoki; Masamichi Tanabe; Akio Koyama; Takashi Harada; Katsuyoshi Washio

A fully integrated 39.8-/43-GHz switchable VCO was developed for practical single-chip MUX/DEMUX LSIs in 0.18 /spl mu/m SiGe BiCMOS technology . The VCO provides a clock signal for data rates of 39.8 and 43.0 Gbps. The VCO has a novel configuration of a half-frequency VCO and a frequency doubler to realize a 7 GHz tuning range, for tolerating process deviation and supporting dual mode operation. A new temperature compensation technique resulted in a 0.6% temperature fluctuation of oscillation frequency. Measured phase noise at a 1 MHz offset frequency was -85.0 dBc/Hz. Data transmission experiments between the MUX and DEMUX confirmed that this phase noise is allowable for use in 40-Gbps class network systems.


Archive | 2002

Semiconductor integration circuit device

Takeshi Suzuki; Shigeru Nakahara; Keiichi Higeta; Takeshi Kusunoki


Archive | 1994

Semiconductor memory device having a controlled auxiliary decoder

K. Ohhata; Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Takeshi Kusunoki; Toru Masuda


Archive | 1995

Memory cell and a memory device having reduced soft error

Youji Idei; Hiroaki Nambu; Kazuo Kanetani; Toru Masuda; Kunihiko Yamaguchi; K. Ohhata; Takeshi Kusunoki


Archive | 2000

Clocked logic gate circuit

Kazuo Kanetani; Hiroaki Nambu; Kaname Yamasaki; Noboru Masuda; Kenji Kaneko; Makoto Hanawa; Takeshi Kusunoki

Collaboration


Dive into the Takeshi Kusunoki's collaboration.

Researchain Logo
Decentralizing Knowledge