Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Johnathan E. Faltermeier is active.

Publication


Featured researches published by Johnathan E. Faltermeier.


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


symposium on vlsi technology | 2010

Challenges and opportunities of extremely thin SOI (ETSOI) CMOS technology for future low power and general purpose system-on-chip applications

Ali Khakifirooz; Kangguo Cheng; Pranita Kulkarni; Jin Cai; Shom Ponoth; J. Kuss; Balasubramanian S. Haran; A. Kimball; Lisa F. Edge; Thomas N. Adam; Hong He; Nicolas Loubet; Sanjay Mehta; Sivananda K. Kanakasabapathy; Stefan Schmitz; Steven J. Holmes; Basanth Jagannathan; Amlan Majumdar; Daewon Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Zhengmao Zhu; L. H. Vanamurth; Johnathan E. Faltermeier; S. Fan; D. Horak

Extremely thin SOI (ETSOI) MOSFET is a viable option for future CMOS scaling owing to superior short-channel control and immunity to random dopant fluctuation. However, challenges of ETSOI integration have so far hindered its adoption for mainstream CMOS. This is especially true for low-power applications, where SOI wafer cost is deemed to significantly add to the total cost. We have recently reported a novel integration scheme to overcome some of the major ETSOI manufacturing issues such as difficulty in doping thin silicon layer, process induced silicon loss, and the dilemma of reduction of external resistance and the increase of parasitic capacitance [1, 2]. The proposed integration flow significantly simplifies device processing and leads to considerable reduction in the number of critical masks [2].


symposium on vlsi technology | 2015

Si nanowire CMOS fabricated with minimal deviation from RMG FinFET technology showing record performance

Isaac Lauer; Nicolas Loubet; Seongwon Kim; John A. Ott; S. Mignot; R. Venigalla; Tenko Yamashita; Theodorus E. Standaert; Johnathan E. Faltermeier; Veeraraghavan S. Basker; Bruce B. Doris; M. Guillorn

We demonstrate a process flow for creating gate-all-around (GAA) Si nanowire (SiNW) MOSFETs with minimal deviation from conventional replacement metal gate (RMG) finFET technology as used in high-volume manufacturing. Using this technique, we demonstrate the highest DC performance shown for GAA SiNW MOSFETs at sub-100 nm gate pitch, and functional high-speed ring oscillators.


ieee soi 3d subthreshold microelectronics technology unified conference | 2014

Prototype of multi-stacked memory wafers using low-temperature oxide bonding and ultra-fine-dimension copper through-silicon via interconnects

Wei Lin; Johnathan E. Faltermeier; Kevin R. Winstel; Spyridon Skordas; Troy L. Graves-Abe; Pooja Batra; Kenneth Robert Herman; John Golz; Toshiaki Kirihata; John J. Garant; Alex Hubbard; Kris Cauffman; Theodore Levine; James Kelly; Deepika Priyadarshini; Brown Peethala; Raghuveer Patlolla; Matthew T. Shoudy; J. Demarest; Jean E. Wynne; Donald F. Canaperi; Dale McHerron; Daniel George Berger; Subramanian S. Iyer

Reported for the first time is proof-of-concept multi-stacking of memory wafers based on low-temperature oxide wafer bonding using novel design and integration of two types of ultra-fine-dimension copper TSV interconnects. The combined via-middle (intra-via) and via-last (inter-via) strategy allows for the greatest degree of interconnectivity with the tightest allowable pitches and permits a highly integrated interconnect system across the stack. In combination with the successful metallization of the ultra-fine-dimension TSVs, the present work has shown the viability to extend the perceived TSV technology beyond the ITRS roadmap.


Meeting Abstracts | 2008

Recent Progress and Challenges in Enabling Embedded Si:C Technology

Bin Yang; Zhibin Ren; R. Takalkar; Linda Black; Abhishek Dube; Johan W. Weijtmans; John Li; Ka Kong Chan; J P de Souza; Anita Madan; Guangrui Xia; Zhengmao Zhu; Johnathan E. Faltermeier; Alexander Reznicek; Thomas N. Adam; Ashima B. Chakravarti; G Pei; Rohit Pal; Eric C. Harley; Brian J. Greene; A. Gehring; M. Cai; Devendra K. Sadana; Dae-Gyu Park; Dan Mocuta; Dominic J. Schepis; Edward P. Maciejewski; Scott Luning; Effendi Leobandung

Summary In summary, this work demonstrates that integrating ISPD eSi:C stressor in the thick-oxide long-channel nMOS source and drain is feasible. Key challenges lie in both high-quality ISPD eSi:C EPI development and modification of the conventional Si CMOS fabrication process to preserve eSi:C strain. Acknowledgements This work was performed by IBM/AMD/Freescale Alliance Teams at various IBM Research and Development Facilities. We wish to thank Applied Materials and ASM America for supplying high quality eSi:C EPI materials. References: [1] Kah-Wee Ang, King-Jien Chui, Vladimir Bliznetsov, Yihua Wang, Lai-Yin Wong, Chih-Hang Tung, N. Balasubramanian, Ming-Fu Li, Ganesh Samudra, and Yee-Chia Yeo, IEDM Tech. Dig., p503, 2005.[2] Yaocheng Liu, Oleg Gluschenkov, Jinghong Li, Anita Madan, Ahmet Ozcan, Byeong Kim, Tom Dyer, Ashima Chakravarti, Kevin Chan, Christian Lavoie, Irene Popova, Teresa Pinto, Nivo Rovedo, Zhijiong Luo, Rainer Loesing, William Henson, Ken Rim, Symp. on VLSI Tech., p.44, 2007. [3] P. Grudowski, V. Dhandapani, S. Zollner, D. Goedeke, K. Loiko, D. Tekleab, V. Adams, G. Spencer, H. Desjardins, L. Prabhu, R. Garcia, M. Foisy, D. Theodore, M. Bauer, D. Weeks, S. Thomas, A. Thean, B. White, SOI Conf. Proc., p.17, 2007. [4] Zhibin Ren, G. Pei, J. Li, F. Yang, R. Takalkar, K. Chan, G. Xia, Z. Zhu, A. Madan, T. Pinto, T. Adam, J. Miller, A. Dube, L. Black, J. W. Weijtmans, B. Yang, E. Harley, A. Chakravarti, T. Kanarsky, I. Lauer, D.-G. Park, D. Sadana, and G. Shahidi, Symp. on VLSI Tech., P. 172-173, 2008. [5] A. Madan, J. Li, Z. Ren, F. Yang, E. Harley, T. Adam, R. Loesing, Z. Zhu, T. Pinto, A. Chakravarti, A. Dube, R. Takalkar, J. W. Weijtmans, L. Black, D. Schepis, ECS SiGe and Realted Materials and Devices Symposium, Hawaii, Oct. 2008 (to be published).


symposium on vlsi technology | 2014

Bottom oxidation through STI (BOTS) - A novel approach to fabricate dielectric isolated FinFETs on bulk substrates

Kangguo Cheng; Soon-Cheon Seo; Johnathan E. Faltermeier; Darsen D. Lu; Theodorus E. Standaert; I. Ok; Ali Khakifirooz; R. Vega; T. Levin; J. Li; J. Demarest; C. Surisetty; D. Song; Henry K. Utomo; R. Chao; Hong He; Anita Madan; P. DeHaven; Nancy Klymko; Zhengmao Zhu; S. Naczas; Y. Yin; J. Kuss; A. Jacob; D.I. Bae; Kang-ill Seo; Walter Kleemeier; R. Sampson; Terence B. Hook; Balasubramanian S. Haran

We report a novel approach to enable the fabrication of dielectric isolated FinFETs on bulk substrates by bottom oxidation through STI (BOTS). BOTS FinFET transistors are manufactured with 42nm fin pitch and 80nm contacted gate pitch. Competitive device performances are achieved with effective drive currents of Ieff (N/P) = 621/453 μA/μm at Ioff = 10 nA/μm at VDD = 0.8 V. The BOTS process results in a sloped fin profile at the fin bottom (fin tail). By extending the gate vertically into the fin tail region, the parasitic short-channel effects due to this fin tail have been successfully suppressed. We further demonstrate the extension of the BOTS process to the fabrication of strained SiGe FinFETs and nanowires, providing a path for future CMOS technologies.


ieee soi 3d subthreshold microelectronics technology unified conference | 2013

Fin width scaling for improved short channel control and performance in aggressively scaled channel length SOI finFETs

Abhijeet Paul; Chun-Chen Yeh; Theodorus E. Standaert; Jeffrey B. Johnson; Andres Bryant; Neeraj Tripathi; Gen Tsutsui; Tenko Yamashita; Veeraraghvan S. Basker; Johnathan E. Faltermeier; Jin Cho; Huiming Bu; M. Khare

This work presents SOI finFETs with fin width (Dfin) scaled to sub 15nm. The process flow provides robust Dfin scaling as depicted by the universal electrostatic scaling of the DIBL and sub-threshold swing (SS). The high field long channel mobility drops by ~6% with Dfin scaling, however, DIBL and SS improves by ~1.5X and ~2X, respectively, for 20nm channel length n/pfinFETs. The effective current (Ieff) at fixed Ioff improves by ~20% and ~30% for p and n finFETs, respectively, with Dfin scaling.


Archive | 2008

Smooth and vertical semiconductor fin structure

Kangguo Cheng; Bruce B. Doris; Johnathan E. Faltermeier; Ying Zhang


Archive | 2011

High-K/metal gate CMOS finFET with improved pFET threshold voltage

Veeraraghavan S. Basker; Kangguo Cheng; Bruce B. Doris; Johnathan E. Faltermeier; Ali Khakifirooz


symposium on vlsi technology | 2006

Fully depleted extremely thin SOI technology fabricated by a novel integration scheme featuring implant-free, zero-silicon-loss, and faceted raised source/drain

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Sivananda K. Kanakasabapathy; Stefan Schmitz; Thomas N. Adam; Yu Zhu; Jing Li; Johnathan E. Faltermeier; T. Furukawa; Lisa F. Edge; B. Haran; Soon-Cheon Seo; P. Jamison; Judson R. Holt; Xin Li; Rainer Loesing; Zhengmao Zhu; Richard Johnson; A. Upham; T. Levin; M. Smalley; J. L. Herman; M. Di; Jing Wang; Devendra K. Sadana; P. Kozlowski; Huiming Bu; Bruce B. Doris; J. O'Neill

Researchain Logo
Decentralizing Knowledge