Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kang-ill Seo is active.

Publication


Featured researches published by Kang-ill Seo.


symposium on vlsi technology | 2014

A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI

Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim

A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.


international electron devices meeting | 2010

Novel stress-memorization-technology (SMT) for high electron mobility enhancement of gate last high-k/metal gate devices

Kwan-Yong Lim; Hyun-Jung Lee; Choongryul Ryu; Kang-ill Seo; Uihui Kwon; Seok-Hoon Kim; Jongwan Choi; Kyung-seok Oh; Hee-Kyung Jeon; Chulgi Song; Tae-Ouk Kwon; Jinyeong Cho; Seung-Hun Lee; Yangsoo Sohn; Hong Sik Yoon; Jung-Hyun Park; Kwanheum Lee; Wook-Je Kim; Eunha Lee; Sang-pil Sim; Chung Geun Koh; Sang Bom Kang; Si-Young Choi; Chilhee Chung

High-k/metal gate (HKMG) compatible high performance Source/Drain (S/D) stress-memorization-technology (SMT) is presented. Channel stress generated by SMT can be simulated by using mask-edge dislocation model, which is consistent with the measured actual channel stress. Extremely deep pre-amorphization-implant (PAI) for SMT creates multiple mask-edge dislocations under S/D region, which enhances short-channel mobility by 40∼60%. Finally, more than 10% short channel drive current gain is achieved with additional S/D extension optimization.


international electron devices meeting | 2011

Bulk planar 20nm high-k/metal gate CMOS technology platform for low power and high performance applications

Hyunyoon Cho; Kang-ill Seo; Won-Cheol Jeong; Yong-Il Kim; Y.D. Lim; Won-Jun Jang; J.G. Hong; Sung-dae Suk; Ming Li; C. Ryou; Hwa Sung Rhee; J.G. Lee; Hee Sung Kang; Yang-Soo Son; C.L. Cheng; Soo-jin Hong; Wouns Yang; Seok Woo Nam; Jung-Chak Ahn; Do-Sun Lee; S.H. Park; M. Sadaaki; D.H. Cha; Dong-Wook Kim; Sang-pil Sim; S. Hyun; C.G. Koh; Byung-chan Lee; Sangjoo Lee; M.C. Kim

A 20 nm logic device technology for low power and high performance application is presented with the smallest contacted-poly pitch (CPP) of minimal 80 nm ever reported in bulk Si planar device. We have achieved nFET and pFET drive currents of 770 µA/µm and 756 µA/µm respectively at 0.9 V and 1 nA/µm Ioff with the novel high-k/metal (HKMG) gate stack and advanced strain engineering. Short channel effect is successfully suppressed thanks to the optimized shallow junction, resulting in excellent DIBL and subthreshold swing below 120 mV and 90 mV/dec, respectively. In addition, full functionality of SRAM device with 20 nm technology architecture is confirmed.


international electron devices meeting | 2008

Random Telegraph Noise in n-type and p-type silicon nanowire transistors

Seungwon Yang; Kyoung Hwan Yeo; Dong-Won Kim; Kang-ill Seo; Donggun Park; Gyo-Young Jin; Kyung-seok Oh; Hyungcheol Shin

We studied random telegraph noise (RTN) of n-type and p-type silicon nanowire transistors (SNWT) for the first time and derived accurate vertical and lateral trap location equations in nanowire structure. Using the derived equations, accurate trap locations were extracted in the devices with single trap as well as multiple traps.


international electron devices meeting | 2001

Highly manufacturable 1 Gb NAND flash using 0.12 /spl mu/m process technology

Jung-Dal Choi; Seong-Soon Cho; Yong-Sik Yim; Jae-Duk Lee; Hong-Soo Kim; Kyung-joong Joo; Sung-Hoi Hur; Heung-Soo Im; Joon Kim; Jeong-Woo Lee; Kang-ill Seo; Man-sug Kang; Kyung-hyun Kim; Jeong-Lim Nam; Kyu-Charn Park; Moonyong Lee

An 1 Gb NAND flash memory has been successfully developed by integrating new technologies, inverse narrow-width effect (INWE) suppression scheme, 32-cell NAND flash combined with the scaling-down of tunnel oxide, inter-poly ONO, and gate poly re-oxidation. It is implemented using KrF photolithography along with a resolution enhancing technique, the planarized surface by etch-back and CMP processes, highly selective contact etching and nonoverlapped dual damascene metallization. Thus, for the first time, a 1 Gb NAND flash memory with mass-producible chip size of 132 mm/sup 2/, lower Vcc operation below 1.8 V and lower power consumption, has been obtained.


international electron devices meeting | 2016

A novel tensile Si (n) and compressive SiGe (p) dual-channel CMOS FinFET co-integration scheme for 5nm logic applications and beyond

Dong-il Bae; Geum-Jong Bae; Krishna K. Bhuwalka; Seung-Hun Lee; Myung-Geun Song; Taek-Soo Jeon; Cheol Kim; Wook-Je Kim; Jae-Young Park; Sunjung Kim; Uihui Kwon; Jongwook Jeon; Kab-jin Nam; Sangwoo Lee; Sean Lian; Kang-ill Seo; Sun-Ghil Lee; Jae Hoo Park; Yeon-Cheol Heo; Mark S. Rodder; Jorge Kittl; Yihwan Kim; Ki-Hyun Hwang; Dong-Won Kim; Mong-song Liang; Eunseung Jung

A novel tensile Si (tSi) and compressive SiGe (cSiGe) dual-channel FinFET CMOS co-integration scheme, aimed at logic applications for the 5nm technology node and beyond, is demonstrated for the first time, showing electrical performance benefits and excellent co-integration feasibility. A Strain-Relaxed SiGe Buffer (SRB) layer is introduced as buried stressor and successfully transfers up to ∼1 GPa uniaxial tensile and compressive stress to the Si/SiGe n-/p-channels simultaneously. As the result, both tSi and cSiGe devices show a 40% and 10% electron and hole mobility gain over unstrained Si, respectively. Through a novel gate stack solution including a common interfacial layer (IL), HK, and single metal gate for both n- and pFET, secured process margin for 5nm gate length, low interface trap density (Dit) for SiGe channel and threshold voltage (Vt) target for both the Si and SiGe device are successfully demonstrated. Lastly, reliability investigation shows that tSi and cSiGe, employing the newly developed common gate stack scheme, possess superior reliability characteristics compared with those of equivalent Si devices.


symposium on vlsi technology | 2014

Bottom oxidation through STI (BOTS) - A novel approach to fabricate dielectric isolated FinFETs on bulk substrates

Kangguo Cheng; Soon-Cheon Seo; Johnathan E. Faltermeier; Darsen D. Lu; Theodorus E. Standaert; I. Ok; Ali Khakifirooz; R. Vega; T. Levin; J. Li; J. Demarest; C. Surisetty; D. Song; Henry K. Utomo; R. Chao; Hong He; Anita Madan; P. DeHaven; Nancy Klymko; Zhengmao Zhu; S. Naczas; Y. Yin; J. Kuss; A. Jacob; D.I. Bae; Kang-ill Seo; Walter Kleemeier; R. Sampson; Terence B. Hook; Balasubramanian S. Haran

We report a novel approach to enable the fabrication of dielectric isolated FinFETs on bulk substrates by bottom oxidation through STI (BOTS). BOTS FinFET transistors are manufactured with 42nm fin pitch and 80nm contacted gate pitch. Competitive device performances are achieved with effective drive currents of Ieff (N/P) = 621/453 μA/μm at Ioff = 10 nA/μm at VDD = 0.8 V. The BOTS process results in a sloped fin profile at the fin bottom (fin tail). By extending the gate vertically into the fin tail region, the parasitic short-channel effects due to this fin tail have been successfully suppressed. We further demonstrate the extension of the BOTS process to the fabrication of strained SiGe FinFETs and nanowires, providing a path for future CMOS technologies.


IEEE Electron Device Letters | 2013

Investigation of Fixed Oxide Charge and Fin Profile Effects on Bulk FinFET Device Characteristics

Bomsoo Kim; Dong-il Bae; Peter Zeitzoff; Xin Sun; Theodorus E. Standaert; Neeraj Tripathi; Andreas Scholze; Philip J. Oldiges; Dechao Guo; Huiling Shang; Kang-ill Seo

The effect of positive fixed oxide charge (Qf) on the electrical characteristics of bulk FinFET devices is investigated and newly addressed as a Fin scaling detractor. The aggressively scaled Fin width leads to abnormal subthreshold slope (SS) degradation in nMOS devices even with a long channel length, while pMOS is free of such degradation. This observation is reproduced and analyzed by a well-calibrated TCAD simulation deck with Qf introduced. A new Fin profile suppressing the Qf effect is proposed, and the benefits of the new profile are predicted in terms of variability reduction and mobility improvement, as well as Qf immunity.


symposium on vlsi technology | 2017

Highly manufacturable 7nm FinFET technology featuring EUV lithography for low power and high performance applications

Dae-Won Ha; C. Yang; Juyul Lee; S.Y. Lee; S.H. Lee; Kang-ill Seo; H.S. Oh; E. C. Hwang; S. W. Do; Sang-Yong Park; M.C. Sun; D. H. Kim; Jun-Won Lee; M. I. Kang; S.-S. Ha; D. Y. Choi; H. Jun; Hyeon-Jin Shin; Young-Hee Kim; Chang-Rok Moon; Y. W. Cho; S.H. Park; Young-Jae Son; Jeong-Heon Park; Byeong-Chan Lee; Chul-Sung Kim; Y. Oh; Jung-Hoon Park; Seong-Sue Kim; M.C. Kim

7nm CMOS FinFET technology featuring EUV lithography, 4th gen. dual Fin and 2nd gen. multi-eWF gate stack is presented, providing 20% faster speed or consuming 35% less total power over 10nm technology [1]. EUV lithography, fully applied to MOL contacts and minimum-pitched metal/via interconnects, can reduce >25% mask steps with higher fidelity and smaller CD variation. AVT of 6T HD SRAM cell are 1.29 for PD (PG) and 1.34 for PU, respectively.


ieee international conference on solid state and integrated circuit technology | 2014

10nm FINFET technology for low power and high performance applications

Dechao Guo; H. Shang; Kang-ill Seo; Balasubramanian S. Haran; Theodorus E. Standaert; Dinesh Gupta; Emre Alptekin; D.I. Bae; Geum-Jong Bae; D. Chanemougame; Kangguo Cheng; Jin Cho; B. Hamieh; J. Hong; Terence B. Hook; J. E. Jung; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim; Duixian Liu; H. Mallela; P. Montanini; M. Mottura; S. Nam; I. Ok; Youn-sik Park; A. Paul; Christopher Prindle

In this paper, we present a 10nm CMOS platform technology for low power and high performance applications with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrates. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limits. Multi-workfunction (MWF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by Random Dopant Fluctuation (RDF) from channel dopants.

Collaboration


Dive into the Kang-ill Seo's collaboration.

Researchain Logo
Decentralizing Knowledge