Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kavita Shah is active.

Publication


Featured researches published by Kavita Shah.


international interconnect technology conference | 2009

Impact of LER on BEOL dielectric reliability: A quantitative model and experimental validation

Zs. Tokei; Ph. Roussel; Michele Stucchi; J. Versluijs; Ivan Ciofi; L. Carbonell; Gerald Beyer; Andrew Cockburn; M. Agustin; Kavita Shah

For the first time we provide a model for describing the LER induced BEOL TDDB lifetime reduction. The model was validated on 50nm ½ pitch copper damascene lines embedded into a k=2.5 low-k material.


international interconnect technology conference | 2010

Integration of 20nm half pitch single damascene copper trenches by spacer-defined double patterning (SDDP) on metal hard mask (MHM)

Yong Kong Siew; J. Versluijs; Eddy Kunnen; Ivan Ciofi; Wilfried Alaerts; Harold Dekkers; Henny Volders; Samuel Suhard; Andrew Cockburn; Erik Sleeckx; Els Van Besien; Herbert Struyf; Mireille Maenhoudt; Atif Noori; Deenesh Padhi; Kavita Shah; Virginie Gravey; Gerald Beyer

Spacer defined double patterning (SDDP) enables further pitch scaling using 193nm immersion lithography. This work aims to design and generate 20nm half pitch (HP) back-end-of-line test structures for single damascene metallization using SDDP with a 3-mask flow. We demonstrated patterning and metallization of 20nm HP trenches in silicon oxide with TiN metal hard mask (MHM).


international interconnect technology conference | 2010

CMP process optimization for improved compatibility with advanced metal liners

Nancy Heylen; Li Yunlong; Kristof Kellens; L. Carbonell; Henny Volders; Gaetano Santoro; Virginie Gravey; Andrew Cockburn; Yuchun Wang; Kavita Shah; Leonardus Leunissen; Gerald Beyer; Zsolt Tokei

As copper interconnect structures are shrinking with each technology node novel metals other than PVD Ta(N)/Ta are being introduced as barrier materials. These materials act as seed enhancement layers and enable the Cu filling of the narrowest structures. However, the integration of such metals into the manufacturing of sub-35 nm wide Cu lines produces several challenges which need to be addressed. One of these challenges is the compatibility of the interconnect metals with the copper Chemical Mechanical Polishing (CMP) step. In particular, corrosion issues and Cu defectivity in the trenches need to be controlled. An evaluation of the compatibility of the CMP slurries with the new incorporated materials therefore becomes extremely important. Our work shows that by optimizing the CMP process and selecting compatible slurries, novel metals such as CVD Co (combined with a Ta(N) barrier) are promising candidates for the metallization of sub-35 nm lines.


international interconnect technology conference | 2009

Metallization of sub-30 nm interconnects: Comparison of different liner/seed combinations

L. Carbonell; Henny Volders; Nancy Heylen; Kristof Kellens; Rudy Caluwaerts; K. Devriendt; Efrain Altamirano Sanchez; Johan Wouters; Virginie Gravey; Kavita Shah; Qian Luo; Arvind Sundarrajan; Jiang Lu; Joseph F. Aubuchon; Paul F. Ma; Murali Narasimhan; Andrew Cockburn; Zsolt Tokei; Gerald Beyer

Narrow trenches with Critical Dimensions down to 17 nm were patterned in oxide using a sacrificial FIN approach and used to evaluate the scalability of TaN/Ta, RuTa, TaN + Co and MnOx metallization schemes. So far, the RuTa metallization scheme has proved to be the most promising candidate to achieve a successful metallization of 25 nm interconnects, providing high electrical yields and a good compatibility with the slurries used during CMP.


Archive | 2006

Apparatus and process for plasma-enhanced atomic layer deposition

Paul F. Ma; Kavita Shah; Dien-Yeh Wu; Seshadri Ganguli; Christophe Marcadal; Frederick C. Wu; Schubert S. Chu


Archive | 2004

Ruthenium as an underlayer for tungsten film deposition

Srinivas Gandikota; Madhu Moorthy; Amit Khandelwal; Avgerinos V. Gelatos; Mei Chang; Kavita Shah; Seshadri Ganguli


Archive | 2006

Atomic layer deposition processes for ruthenium materials

Paul F. Ma; Kavita Shah; Dien-Yeh Wu; Seshadri Ganguli; Christophe Marcadal; Frederick C. Wu; Schubert S. Chu


Archive | 2006

Process for electroless copper deposition on a ruthenium seed

Timothy W. Weidman; Arulkumar Shanmugasundram; Kapila Wijekoon; Schubert S. Chu; Frederick C. Wu; Kavita Shah


Archive | 2008

Ruthenium or cobalt as an underlayer for tungsten film deposition

Srinivas Gandikota; Madhu Moorthy; Amit Khandelwal; Avgerinos V. Gelatos; Mei Chang; Kavita Shah; Seshadri Ganguli


Archive | 2007

Vapor deposition processes for tantalum carbide nitride materials

Kavita Shah; Haichun Yang; Schubert S. Chu

Collaboration


Dive into the Kavita Shah's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Gerald Beyer

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge