Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Laura Nyns is active.

Publication


Featured researches published by Laura Nyns.


IEEE Electron Device Letters | 2010

High FET Performance for a Future CMOS

Florence Bellenger; Brice De Jaeger; Clement Merckling; Michel Houssa; Julien Penaud; Laura Nyns; E. Vrancken; Matty Caymax; Marc Meuris; Thomas Hoffmann; Kristin De Meyer; Marc Heyns

In Germanium-based metal-oxide-semiconductor field-effect transistors, a high-quality interfacial layer prior to high-¿ deposition is required to achieve low interface state densities and prevent Fermi level pinning. In this letter, the physical and electrical properties of a Ge/GeO2/Al2O3 gate stack are investigated. The GeO2 interlayer grown by radical oxidation and the formation of a germanate (GeAlOX) layer at the interface provide a stable high-quality passivation of the Ge channel. High carrier mobilities (235 cm2/V·s for electrons and 265 cm2/V·s for holes) are demonstrated for a relatively low 3.7-nm equivalent oxide thickness (EOT), enabling the realization of a high-performance CMOS technology with potential EOT scaling.


symposium on vlsi technology | 2014

\hbox{GeO}_{2}

Niamh Waldron; Clement Merckling; W. Guo; Patrick Ong; L. Teugels; S. Ansar; D. Tsvetanova; F. Sebaai; D. H. van Dorp; Alexey Milenin; D. Lin; Laura Nyns; Jerome Mitard; Ali Pourghaderi; Bastien Douhard; O. Richard; Hugo Bender; G. Boccardi; Matty Caymax; M. Heyns; Wilfried Vandervorst; K. Barla; Nadine Collaert; A. V-Y. Thean

InGaAs FinFETs fabricated by an unique Si fin replacement process have been demonstrated on 300mm Si substrates. The devices are integrated by process modules developed for a Si-IIIV hybrid 300mm R&D pilot line, compatible for future CMOS high-volume manufacturing. First devices with a SS of 190 mV/dec and extrinsic gm of 558 μS/μm are achieved for an EOT of 1.9nm, Lg of 50nm and fin width of 55nm. A trade-off between off state leakage and mobility for different p-type doping levels of the InP and InGaAs layers is found and the RMG high-κ last processing is demonstrated to offer significant performance improvements over that of high-κ first.


Journal of The Electrochemical Society | 2010

-Based Technology

Johan Swerts; Nick Peys; Laura Nyns; Annelies Delabie; Alexis Franquet; Jan Maes; Sven Van Elshocht; Stefan De Gendt

The downscaling of high-k/metal gate transistor devices requires thin-film deposition processes that deliver not only an outstanding high-k oxide quality, but also a strict interfacial oxide thickness control in the sub-1 nm thickness range. To study the impact of atomic layer deposition (ALD) process conditions and chemistry on the HfO 2 quality and interfacial oxide thickness, we have used tetrakis[ethylmethylamino]hafnium (TEMAH) as a metal precursor and H 2 O and 0 3 as oxidants. The deposition temperature ranged from 285 up to 365 °C, where TEMAH decomposition plays a role in the growth mechanism. Physical characterization and Pt dot capacitor devices have been used to study the impact of the oxidant and process conditions on the equivalent oxide thickness and gate leakage current of 2-4 nm thin HfO 2 films. By combining X-ray reflectometry and ellipsometry, we evaluated the Si/high-k interfacial oxide layer thickness. Time-of-flight secondary-ion mass spectroscopy was used to determine the C impurity levels. Both the interfacial oxide layer thickness and the C impurity level in the Si/SiO 2 /HfO 2 stacks are strongly dependent on the oxidant. The temperature dependence of the C impurity level is opposite for O 3 and H 2 O. Furthermore, SiO 2 regrowth was found for the 0 3 process.


Journal of The Electrochemical Society | 2006

An InGaAs/InP quantum well finfet using the replacement fin process integrated in an RMG flow on 300mm Si substrates

Laura Nyns; Lindsey H. Hall; Thierry Conard; Annelies Delabie; W. Deweerd; M. Heyns; S. Van Elshocht; N. Van Hoornick; Christiaan Vinckier; S. De Gendt

Growing nanometer-thin HfO 2 films by atomic layer deposition (ALD) for implementation in advanced transistor structures is controlled by the density of reactive OH sites on the surface. The impact of thin SiO 2 starting surfaces, grown by wet chemical processes and by wetting a thermal oxide, on the nucleation and growth of ALD HfO 2 has therefore been evaluated. Our results demonstrate that both surface pretreatments display the same dependence of the initial HfO 2 growth on the interfacial layer thickness. This correlation is first characterized by a linear increase, which can be interpreted in terms of increasing OH surface concentration. Once an ellipsometric oxide thickness of approximately 0.8 nm is reached, saturation of the HfO 2 deposition occurs. Maximal OH coverage of the surface or steric hindrance of the adsorbed precursor molecules could explain this observation. However, the increased growth-per-cycle at lower deposition temperatures can be attributed to an improved hydroxylation of the surface, excluding steric hindrance as the primary factor causing saturation. Furthermore, electrical characterization revealed that both interfacial oxides show identical leakage scaling behavior down to an equivalent oxide thickness of 0.8 nm.


Journal of Applied Physics | 2011

Impact of Precursor Chemistry and Process Conditions on the Scalability of ALD HfO2 Gate Dielectrics

Sonja Sioncke; H. C. Lin; Laura Nyns; Guy Brammertz; Annelies Delabie; Thierry Conard; Alexis Franquet; Jens Rip; Herbert Struyf; S. De Gendt; Matthias Müller; Burkhard Beckhoff; Matty Caymax

The passivation of the interface between Ge and the gate dielectric is a critical issue for the integration of Ge into next generation CMOS devices. GeO2 has recently garnered a lot of interest, but there is always a trade-off between low interface state densities and a low equivalent oxide thickness. In this paper we investigate the S-passivation of the Ge gate stack in which only 1 monolayer of S is needed in order to improve the interface properties of the gate stack. S-passivation is achieved via exposure of the clean Ge(100) surface to H2S. The high-k dielectric is deposited via atomic layer deposition. We show that the oxidant precursor type (H2O versus O3) will result not only in different growth behavior but also in different interface properties. The H2O based process results in low defect densities at the valence bandedge, whereas the O3 based process results in low defect densities at the conduction bandedge.


Journal of The Electrochemical Society | 2008

Nucleation and Growth Behavior of Atomic Layer Deposited HfO2 Films on Silicon Oxide Starting Surfaces

Laura Nyns; Annelies Delabie; Matty Caymax; Marc Heyns; S. Van Elshocht; Christiaan Vinckier; S. De Gendt

The growth behavior and film quality of HfO 2 deposited by atomic layer deposition (ALD) using HfCl 4 /H 2 O depends on the hydroxylation of the exposed surface. In this work, we investigate the dependence of the first HfCl 4 chemisorption reaction at 300°C on the OH density of the silicon surface. We observe that the hydroxyl density, and hence the Hf deposition, on O 3 /H 2 O wet oxides depends on the initial preparation as well as on the stabilization time in the ALD reactor. A good understanding of the initial nucleation behavior is necessary because wet oxides are used in complementary metal-oxide-semiconductor transistors as surface pretreatment for aggressively scaled HfO 2 gate dielectrics. Moreover, the HfCl 4 chemisorption reaction is used to estimate the hydroxylated fraction of these surfaces by means of theoretical models. Finally, the temperature dependence of the OH density, as available in the literature, is applied to gain insight into the stoichiometry of the HfCl 4 chemisorption reaction.


international electron devices meeting | 2007

S-passivation of the Ge gate stack: Tuning the gate stack properties by changing the atomic layer deposition oxidant precursor

S. Kubicek; Tom Schram; V. Paraschiv; Rita Vos; Marc Demand; C. Adelmann; Thomas Witters; Laura Nyns; Lars-Ake Ragnarsson; H.Y. Yu; A. Veloso; R. Singanamalla; Thomas Kauerauf; Erika Rohr; S. Brus; C. Vrancken; V. S. Chang; R. Mitsuhashi; A. Akheyar; Hyunyoon Cho; Jacob Hooker; Barry O'Sullivan; T. Chiarella; C. Kerner; Annelies Delabie; S. Van Elshocht; K. De Meyer; S. De Gendt; P. Absil; Thomas Hoffmann

A gate-first process was used to fabricate CMOS circuits with high performing high-K and metal gate transistors. Symmetric low VT values of plusmn 0.25 V and unstrained IDSAT of 1035/500 muA/mum for nMOS/pMOS at IOFF=100nA/mum and |VDD|=1.1 V are demonstrated on a single wafer. This was achieved using Hf-based high-k dielectrics with La (nMOS) and Al (pMOS) doping, in combination with a laser-only activation anneal to maintain band-edge EWF and minimal EOT re-growth. The laser-only anneal further results in improved LG scaling of 15 nm and a 2 Aring TINV reduction over the spike reference.


Journal of The Electrochemical Society | 2010

HfO2 Atomic Layer Deposition Using HfCl4 ∕ H2O : The First Reaction Cycle

Laura Nyns; Annelies Delabie; Geoffrey Pourtois; S. Van Elshocht; Christiaan Vinckier; S. De Gendt

Hafnium aluminates have been investigated as high-κ dielectrics for implementation in sub-45 nm nonvolatile memory technologies. The growth behavior and quality of these dielectrics strongly depend on the applied deposition technique. We examine the surface reactions that occur during the atomic layer deposition (ALD) of hafnium aluminates from HfCl 4 , Al(CH 3 ) 3 , and H 2 O. When grown in the ALD sequence (HfCl 4 /H 2 O) a [Al(CH 3 ) 3 )/H 2 O] b , HfCl 4 chemisorption is enhanced while that of Al(CH 3 ) 3 is inhibited compared to the reaction during ALD of the respective binary oxides. Density functional theory simulations suggest that this observation is related to the more efficient hydrolysis of the Al-C bond compared to Hf―Cl. However, earlier works on ALD of HfCl 4 /H 2 O suggest that the low growth per cycle of this process is not caused by a limited hydrolysis of the Hf―Cl bond but by dehydroxylation of the generated Hf-OH surface sites into less reactive Hf―O―Hf surface sites. Hence, we propose that the enhanced HfCl 4 and inhibited Al(CH 3 ) 3 chemisorption during ALD of hafnium aluminates results from a difference in dehydroxylation behavior between both binary oxides.


Electrochemical and Solid State Letters | 2010

Low V T CMOS using doped Hf-based oxides, TaC-based Metals and Laser-only Anneal

Annelies Delabie; Matty Caymax; Sven Gielis; Jan Maes; Laura Nyns; Mihaela Ioana Popovici; Johan Swerts; Hilde Tielens; Jozef Peeters; Sven Van Elshocht

The 0 2 /N 2 flow ratio during O 3 generation by dielectric barrier discharge has a large impact on the atomic layer deposition (ALD) of metal oxides in a hot wall ALD reactor. For HfO 2 ALD using HfCl 4 as a metal precursor, a higher growth per cycle and a broader ALD temperature window are obtained when N 2 is added to the O 2 supply of the O 3 generation. A positive impact of N 2 in the 0 3 generation is also observed for ZrO 2 and La 2 O 3 ALD. A negative impact is observed for Al 2 O 3 ALD: The Al 2 O 3 thickness is reduced for those conditions for O 3 where Hf0 2 ALD is enhanced.


international electron devices meeting | 2015

Study of the Surface Reactions in ALD Hafnium Aluminates

Niamh Waldron; Sonja Sioncke; Jacopo Franco; Laura Nyns; Abhitosh Vais; X. Zhou; H.C. Lin; G. Boccardi; J. W. Maes; Qi Xie; Michael Givens; Fu Tang; Xiaoqiang Jiang; E. Chiu; A. Opdebeeck; Clement Merckling; F. Sebaai; D. H. van Dorp; L. Teugels; A. Sibaja Hernandez; K. De Meyer; K. Barla; Nadine Collaert; Y-V. Thean

We report record results for III-V gate-all-around devices fabricated on 300mm Si wafers. A gm of 2200 μS/μm with an SSsat of 110 mV/dec is achieved for an Lg=50nm device using a newly developed gate stack interlayer material deposited by ALD. In addition it is shown that high pressure annealing can further improve device performance with an average increase in gm of 22% for a 400 °C anneal.

Collaboration


Dive into the Laura Nyns's collaboration.

Top Co-Authors

Avatar

Sonja Sioncke

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Matty Caymax

University of Newcastle

View shared research outputs
Top Co-Authors

Avatar

Dennis Lin

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Van Elshocht

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Nadine Collaert

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Geoffrey Pourtois

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jacopo Franco

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge