Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where M. J. Kao is active.

Publication


Featured researches published by M. J. Kao.


electronic components and technology conference | 2011

How to select adhesive materials for temporary bonding and de-bonding of 200mm and 300mm thin-wafer handling for 3D IC integration?

W. L. Tsai; Hsiang-Hung Chang; Chun-Hsien Chien; John H. Lau; Huan-Chun Fu; C. W. Chiang; Tzu-Ying Kuo; Y. H. Chen; Robert Lo; M. J. Kao

Handling and shipping thin wafers (≦200μm) through all the semiconductor fabrication and packaging assembly processes are very difficult since thin wafers lose the supporting strength. Usually, the thin wafer is attached to a supporting wafer with adhesive to increase its rigidity and bending stiffness. Thus, adhesive is the key enabling material for thin-wafer handling, and how to select adhesive materials for temporary bonding and de-bonding is the focus in this study. Two sizes of wafers are considered; the 200mm wafers are used to find out the important and unimportant parameters in selecting the adhesive and then apply them to the 300mm wafers. It will be shown that wafer thinning and PECVD (plasma enhanced chemical vapor deposition) in vacuum chamber are the two critical steps for thin-wafer handling. The 300mm wafers are thinned down to 50μm and evaluated in different structures including: (a) blanket wafers, (b) wafers with 80μm solder bumps, and (c) wafers with 20μm micro-bumps and TSVs in 10μm diameter and 40∼50μm pitch. Based on this study, a set of useful process guidelines and recommendations is provided.


electronic components and technology conference | 2011

Electromigration in Ni/Sn intermetallic micro bump joint for 3D IC chip stacking

Yu-Min Lin; Chau-Jie Zhan; Jing-Ye Juang; John H. Lau; Tai-Hong Chen; Robert Lo; M. J. Kao; Tian Tian; K. N. Tu

In this study, we used a chip-on-chip test vehicle with 30μm pitch lead-free solder micro bump to study the electromigration reliability of solder micro bump interconnection used for 3D chip stacking. The structure of micro bump composed of Sn2.5Ag solder material with Cu/Ni under bump metallization (UBM) was selected. Two types of interconnection were chosen to evaluate the effect of the joint structure on electromigration behavior. The type I was the chip stacking sample with the IMC / Sn (5 um thick) / IMC joint structure, while the type II was the sample with fully transformed Ni3Sn4 intermetallic (IMC) joints made by the post-treatment of long time thermal aging. Electromigration test was performed on the four point Kelvin structure and daisy-chain structure under the current stressing of 104∼105 A/cm2 at an ambient temperature of 150°C. During the electromigration test, the resistance increase was in-situ monitored to determine the definite time to failure. The microstructure evolution was also examined at different stages of joint resistance increase. From the testing results, the rapid increase of joint resistance was found at the early stage under current stressing in the type I micro bump. After that, the joint resistance increase became slower. This mild increasing stage was much longer than the early stage. For the type II sample, however, the resistance increasing rate was quiet lower than that of the type I sample at the identical testing time. With a higher current density in the order of 105 A/cm2 in the micro joint, the effect of joule heating caused the damage happened in Al trace and Cu UBM while the residual Sn solder had been transformed to be Ni3Sn4 IMC totally and few voids were found around IMC by the microstructure observation. When applied a current density in the order of 104 A/cm2 on the micro joint, the residual Sn was also fully transformed to be IMC. However, the failure mode of the micro joint is not clear yet because the experiments are still on-going. The resistance variation is showing a steady state under such a condition of current stressing and so far no open failure happened.


electronic components and technology conference | 2011

Characterization and reliability assessment of solder microbumps and assembly for 3D IC integration

Ching-Kuan Lee; Tao-Chih Chang; Yu-Jiau Huang; Huan-Chun Fu; Jui-Hsiung Huang; Zhi-Cheng Hsiao; John H. Lau; Cheng-Ta Ko; Ren-Shin Cheng; Pei-Chen Chang; Kuo-Shu Kao; Yu-Lan Lu; Robert Lo; M. J. Kao

In this investigation, Cu/Sn lead-free solder microbumps with 10μm pads on 20μm pitch are designed and fabricated. The chip size is 5mm × 5mm with thousands of microbumps. A daisy-chain feature is adopted for the characterization and reliability Assessment. After pattern trace formation, the microbump is fabricated on the trace by an electroplating technique. A suitable barrier/seed layer thickness is designed and applied to minimize the undercut due to wet etching but still achieve good plating uniformity. With the current process, the undercut is less than 1μm and the bump height variation is less than 10%. In addition, the shear test is adopted to characterize the bump strength, which exceeds the specification. Also, the Cu-Sn lead-free solder micro bumped chip is bonded on a Si wafer (chip-to-wafer or C2W bonding). Furthermore, the micro-gap between the bonded chips is filled with a special underfill. The shear strength of the bonded chips w/o underfill is measured and exceeds the specification. The bonding and filling integrity is further evaluated by open/short measurement, SAT analysis, and cross-section with SEM analysis. The stacked ICs are evaluated by reliability tests, including thermal cycling test (−55⇆125°C, dwell and ramp times = 15 min). Finally, ultra find-pitch (5μm pads on 10μm pitch) lead-free solder microbumping is explored.


electronic components and technology conference | 2011

Development of fluxless chip-on-wafer bonding process for 3DIC chip stacking with 30μm pitch lead-free solder micro bumps and reliability characterization

Chau-Jie Zhan; Jing-Ye Juang; Yu-Min Lin; Yu-Wei Huang; Kuo-Shu Kao; Tsung-Fu Yang; Su-Tsai Lu; John H. Lau; Tai-Hong Chen; Robert Lo; M. J. Kao

3D IC integration can be accomplished by using the approaches such as chip-on-chip, chip-on-wafer and wafer-on-wafer integration. The scheme of chip-on-chip shows the advantages of high flexibility and yield during assembly process. However, low fabrication throughput has been a major issue. When compared to chip-on-chip integrations, wafer-on-wafer may provide the higher manufacturing throughput but its overall yield will be limited by accumulative yield. Also, good chips are forced to bond on bad chip. Therefore, the development of chip-on-wafer integration may be a better scheme for 3D chip stacking. In this study, a high-yield and fluxless chip-on-wafer bonding process with 30μm pitch lead-free solder micro bump interconnection were demonstrated and the reliability of micro joint was also evaluated. Test chip adopted in this study had more than 3000 micro bumps with a diameter of 18μm and a pitch of 30μm. Sn2.5Ag solder material was electroplated on Cu/Ni under bump metallurgy (UBM) of both the test chip and 200mm wafer. To achieve the purpose of fluxless chip-on-wafer bonding, the plasma pre-treatment was applied to both the test chips and bonded wafer. The thermo-compression bonding method with the gap control capability was also carried out. In this work, the fluxless thermo-compression bonding having more than 90% CoW yield had been accomplished. The factor of Sn thickness was found to evidently influence the CoW yield. With the optimized plasma treatment parameters and bonding conditions, a well-aligned and robust joining of micro bump without using flux could be obtained. The results of reliability test revealed that the introduction of underfill could apparently enhance the reliability performance of micro joint under mechanical evaluation. Also, the solder micro bump joint showed excellent electromigration resistance when compared to standard flip chip bump under current stress of 5×10−4 A/cm2 at an ambient temperature of 150°C.


electronic components and technology conference | 2011

Effects of etch rate on scallop of through-silicon vias (TSVs) in 200mm and 300mm wafers

Yu-Chen Hsin; Chien-Chou Chen; John H. Lau; Pei-Jer Tzeng; Shang-Hung Shen; Yi-Feng Hsu; Shang-Chun Chen; Chien-Ying Wn; Jui-Chin Chen; Tzu-Kun Ku; M. J. Kao

The effects of etch rate on TSV sidewall variation in 8” (200mm) and 12” (300mm) wafers are investigated in this study. Emphasis is placed on the determination of sidewall scallop with different etch rates ranging from 1.7μm/min to 18μm/min and various TSV diameters (1μm, 10μm, 20μm, 30μm, and 50μm) by design of experiments (DoE). The BHE in/out (2666Pa/2666Pa) are the same for all the cases. Also, characterizations of the sidewall scallop are performed by cross sections and scanning electron microscopy (SEM). Furthermore, with a same etch recipe, mask, and 9 (5μm, 10μm, 15μm, 20μm, 25μm, 30μm, 40μm, 55μm, and 65μm) TSV diameters, the etch results such as etch rate, TSV depth, and sidewall scallop of 200 and 300mm wafers are provided and compared, Finally, a set of useful process guidelines and recipes for optimal TSV etching is presented.


electronic components and technology conference | 2011

Impact of slurry in Cu CMP (chemical mechanical polishing) on Cu topography of Through Silicon Vias (TSVs), re-distribution layers, and Cu exposure

Jui-Chin Chen; Pei-Jer Tzeng; Su-Mei Chen; Chun-Kun Wu; Chih-Li Chen; Yu-Chen Hsin; John H. Lau; Yi-Feng Hsu; Shang-Hung Shen; Sue-Chen Liao; Chi-Hon Ho; Chun-Te Lin; Tzu-Kun Ku; M. J. Kao

In this study, the optimization of Cu CMP performance (dishing) for removing thick Cu plating overburden due to Cu plating for deep TSVs in a 300mm wafer is investigated. Also, backside isolation oxide CMP for TSV Cu exposure is discussed. In order to obtain a minimum Cu dishing on the TSV region, a proper selection of Cu slurries is proposed for the current two-step Cu polishing process. The bulk of Cu is removed with the slurry of high Cu removal rate at the first step and the Cu surface is planarized with the slurry of high Cu passivation capability at the second step. The Cu dishing can be improved up to 97% for the 10μm-diameter TSVs on a 300mm wafer. The dishing/erosion of the metal/oxide can be reduced with respect to a correspondingly-optimized Cu plating overburden for TSVs and RDLs. Cu metal dishing can be drastically reduced once the Cu overburdens are increased to a critical thickness. For backside isolation oxide CMP for TSV Cu exposure, the results show that the Cu studs of TSVs with a bigger via size still keep in a plateau-like shape after CMP.


electronic components and technology conference | 2012

Assembly process and reliability assessment of TSV/RDL/IPD interposer with multi-chip-stacking for 3D IC integration SiP

Chau-Jie Zhan; Pei-Jer Tzeng; John H. Lau; Ming-Ji Dai; Heng-Chieh Chien; Ching-Kuan Lee; Shang-Tsai Wu; Kuo-Shu Kao; Shin-Yi Huang; Chia-Wen Fan; Su-Ching Chung; Yu-Wei Huang; Yu-Min Lin; Jing-Yao Chang; Tsung-Fu Yang; Tai-Hung Chen; Robert Lo; M. J. Kao

In this study, a 3D IC integration system-in-package (SiP) with TSV/RDL/IPD interposer is designed and developed. Emphasis is placed on the Cu revealing, embedded stress sensors, non-destructive inspection, thermal modeling and measurement, and final assembly and reliability assessments.


international microsystems, packaging, assembly and circuits technology conference | 2011

Estimation for equivalent thermal conductivity of silicon-through vias TSVs used for 3D IC integration

Heng-Chieh Chien; John H. Lau; Yu-Lin Chao; Ra-Min Tain; Ming-Ji Dai; Wei-Chung Lo; M. J. Kao

In this study, thermal performance of 3D IC integration is investigated. Emphasis is placed on the determination of a set of equivalent thermal conductivity equations for Cu-filled TSVs with various TSV diameters, TSV pitches, TSV thicknesses, passivation thicknesses, and microbump pads. Also, a slice model to imitate a 3D memory stacked chip is adopted to verify the accuracy of the equivalent equations. Finally, the feasibility of these equivalent equations is demonstrated through a simple 3D IC integration structure.


electronic components and technology conference | 2012

Ultra low-cost through-silicon holes (TSHs) interposers for 3D IC integration SiPs

Sheng-Tsai Wu; John H. Lau; Heng-Chieh Chien; Jui-Feng Hung; Ming-Ji Dai; Yu-Lin Chao; Ra-Min Tain; Wei-Chung Lo; M. J. Kao

In this study, a very low-cost silicon interposer with many through-silicon holes (TSHs) for 3D IC integration system-in-package (SiP) applications is proposed. Unlike TSVs (through-silicon vias), the uniqueness of this design is there is not the dielectric layer, barrier layer, seed layer, filled Cu, and thus CMP and TSV Cu reveal are not necessary for the TSHs. The vertical interconnects between (face-to-face) the top chips and bottom chips of the TSH interposer are through Cu wires or columns. The electrical, thermal and mechanical behaviors of this new design are demonstrated by nonlinear finite element simulations.


international microsystems, packaging, assembly and circuits technology conference | 2011

Nonlinear thermal stress analyses and design guidelines for through silicon vias (TSVs) in 3D IC integration

Ming-Che Hsieh; Sheng-Tsai Wu; Wei Li; Ra-Min Tain; John H. Lau; Robert Lo; M. J. Kao

In this investigation, a set of empirical equations which predicts the maximum thermal stresses at the vicinity of a copper filled TSV for 3D IC integration has been proposed. The finite element model of a symmetrical single in-line TSV with redistribution layer has been created at first and the parametric study includes the TSV diameter, pitch, and thickness, and the thickness of SiO2 passivation and Cu seed layer. The methodology of design of experiments (DOE) has been adopted to deliver a set of empirical equations which captures the most important mechanical parameters of TSVs to comprehend the corresponding thermal stress and strain responses. Through this set of empirical equations, the estimated maximum thermal stresses and strains for different TSV diameter (from 10μm to 50μm) can be explained and the significant geometrical parameters can be easily observed. In addition, based on the present parametric study and results, a set of design guidelines for optimizing the mechanical performance of copper filled TSV in 3D IC integration has been proposed. These results are helpful to engineers if thermal stress solutions for TSVs in 3D IC integration are required.

Collaboration


Dive into the M. J. Kao's collaboration.

Top Co-Authors

Avatar

John H. Lau

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Pei-Jer Tzeng

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Robert Lo

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Chau-Jie Zhan

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Frederick T. Chen

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Tzu-Kun Ku

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Cha-Hsin Lin

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Cheng-Ta Ko

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Heng-Chieh Chien

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Jui-Chin Chen

Industrial Technology Research Institute

View shared research outputs
Researchain Logo
Decentralizing Knowledge