Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael I. Current is active.

Publication


Featured researches published by Michael I. Current.


IEEE Transactions on Electron Devices | 2014

Low-Temperature Microwave Annealing Processes for Future IC Fabrication—A Review

Yao-Jen Lee; Ta-Chun Cho; Shang-Shiun Chuang; Fu-Kuo Hsueh; Yu-Lun Lu; Po-Jung Sung; Hsiu-Chih Chen; Michael I. Current; Tseung-Yuen Tseng; Tien-Sheng Chao; Chenming Hu; Fu-Liang Yang

Microwave annealing (MWA) and rapid thermal annealing (RTA) of dopants in implanted Si are compared in their abilities to produce very shallow and highly activated junctions. First, arsenic (As), phosphorus (P), and BF2 implants in Si substrate were annealed by MWA at temperatures below 550 °C. Next, enhancing the substitutional carbon concentration ([C]sub) by cluster carbon implantation in (100) Si substrates with MWA or RTA techniques was investigated. Annealing temperatures and time effects were studied. Different formation mechanisms of SiCx layer were observed. In addition, substrate temperature is an important factor for dopant activation during MWA and in situ doped a-Si on oxide/Si substrate or glass were compared to elucidate the substrate temperature effect. After the discussion of dopant activation in Si substrates, low temperature formation of ultrathin NiGe layer is presented. Ultrathin NiGe films with low sheet resistance have been demonstrated with a novel two-step MWA process. In the two-step MWA process, the first step anneals the sample with low power MWA, and the second step applies higher power MWA for reducing sheet resistance. During fixed-frequency microwave heating, standing wave patterns may be present in the MWA chamber resulting in nodes and antinodes and thermal variations over the process wafer. Therefore, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency MWA were investigated.


IEEE Transactions on Electron Devices | 2011

Amorphous-Layer Regrowth and Activation of P and As Implanted Si by Low-Temperature Microwave Annealing

Fu-Kuo Hsueh; Yao-Jen Lee; Kun-Lin Lin; Michael I. Current; Ching-Yi Wu; Tien-Sheng Chao

Microwave annealing of dopants in Si has been re ported to produce highly activated junctions at temperatures far below those needed for comparable results using conventional thermal processes. However, the details of the kinetics and mechanisms for microwave annealing are far from well understood. In this paper, 20-keV arsenic (As) and 15-keV phosphorus (P) implants, in a dose range from 1 to 5 × 1015 ion/cm2, were annealed by microwave methods at temperatures below 500°C. These junctions were characterized by profile studies with secondary ion mass spectrometry and spreading resistance profiling, sheet resistance with four-point probe, and extensive use of cross sectional transmission electron microscopy to follow the regrowth of the as-implanted amorphous layers created by the implantation. The amorphous-layer regrowth was observed to be uneven in time, with relatively little amorphous/crystalline interface motion for less than 50 s, followed by rapid regrowth for longer times. Sheet resistance values continued to drop for anneal times after the regrowth process was complete, with some evidence of dopant deactivation for anneal times of 600 s.


international electron devices meeting | 2014

A novel junctionless FinFET structure with sub-5nm shell doping profile by molecular monolayer doping and microwave annealing

Yao Jen Lee; Ta-Chun Cho; Kuo Hsing Kao; Po-Jung Sung; Fu-Kuo Hsueh; P.-C. Huang; Chien Ting Wu; S.-H. Hsu; Wen-Hsien Huang; Hsiu-Chih Chen; Yiming Li; Michael I. Current; B. Hengstebeck; J. Marino; T. Büyüklimanli; Jia-Min Shieh; Tien Sheng Chao; Wen Fa Wu; Wen-Kuan Yeh

For the first time, a novel junctionless (JL) FinFET structure with a shell doping profile (SDP) formed by molecular monolayer doping (MLD) method and microwave annealing (MWA) at low temperature is proposed and studied. Thanks to the ultra thin SDP leading to an easily-depleted channel, the proposed JLFinFET can retain the ideal subthreshold swing (~ 60 mV/dec) at a high doping level according to simulations. Poly Si based JLFinFETs processed with MLD and MWA exhibit superior subthreshold swing (S.S. ~ 67mV/dec) and excellent on-off ratio (>106) for both n and p channel devices. Threshold voltage (VTH) variation due to random dopant fluctuation (RDF) is reduced in MLD-JLFinFETs, which can be attributed to the molecule self-limiting property of MLD on the Si surface and quasi-diffusionless MWA at low temperature. Our results reveal the potential of the proposed SDP enabling a JLFET showing reduced variation and outstanding performance for low power applications.


IEEE Electron Device Letters | 2013

Low-Temperature Microwave Annealing for MOSFETs With High-k/Metal Gate Stacks

Yao-Jen Lee; Bo-An Tsai; Chiung-Hui Lai; Zheng-Yao Chen; Fu-Kuo Hsueh; Po-Jung Sung; Michael I. Current; Chih-Wei Luo

In this letter, low-temperature (480°C) microwave annealing (MWA) for MOS devices with high-k/metal gate-stacks is demonstrated. The capacitance-voltage (C-V) characteristics of the MOS gate-stacks, TiN/HfO2, and TaN/HfO2, after different annealing methods are discussed. The increases in equivalent oxide thickness (EOT) of the MOS devices after dopant activation processing can be eliminated using low temperature MWA. In addition, the short channel effects in nMOSFETs annealed by MWA can be also improved because of the suppression of dopant diffusion and stabilization of EOT.


IEEE Electron Device Letters | 2012

Susceptor Coupling for the Uniformity and Dopant Activation Efficiency in Implanted Si Under Fixed-Frequency Microwave Anneal

Yao-Jen Lee; Fu-Kuo Hsueh; Michael I. Current; Ching-Yi Wu; Tien-Sheng Chao

Microwave annealing of dopants in Si has been reported to produce highly activated junctions at temperatures far below those needed for comparable results using conventional thermal processes. However, during conventional fixed-frequency microwave heating, standing wave patterns can be established in the microwave processing chamber, resulting in nodes and antinodes over the processing area, resulting in thermal variations over the process wafer. In this letter, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency microwave anneal are studied. The composition, number, and spacing of susceptor wafers were varied in a systematic fashion in these experiments.


international electron devices meeting | 2012

Full low temperature microwave processed Ge CMOS achieving diffusion-less junction and Ultrathin 7.5nm Ni mono-germanide

Y.-J. Lee; Shang-Shiun Chuang; C.-I. Liu; Fu-Kuo Hsueh; Po-Jung Sung; Hunglin Chen; Chien Ting Wu; Kun Lin Lin; J.-Y. Yao; Y.-L. Shen; M.-L. Kuo; Chih-Yuh Yang; Guang-Li Luo; Hung-Wei Chen; C.-H. Lai; Michael I. Current; Ching-Yi Wu; Y.-M. Wan; Tseung-Yuen Tseng; Chenming Hu; Fu-Liang Yang

For the first time, Ge CMOS with all thermal processes performed by microwave annealing (MWA) has been realized. The full MWA process is under 390 oC. It significantly outperforms conventional rapid thermal annealing (RTA) process in 3 aspects: (1) Diffusion-less junction: for easily diffused n-type dopant, phosphorous (P), the ion implantation dopant profile after the MWA activation process remains unchanged. (2) Increased Cox and lower gate leakage: the low temperature activation process leads to less Ge out-diffusion during MWA than RTA, suppressing the degradation of gate dielectric/ Ge channel interface. (3) Ultrathin 7.5nm Ni mono-germanide with low sheet resistance (Rs) and contact resistivity: after two-step MWA, a thin mono-NiGe layer was obtained which has larger crystallite size to lower Rs. Ge n- and p-MOSFET were also demonstrated. Compared to conventional RTA, the MWA gives 50% and 24% drive current enhancement for p- and n-MOSFET, respectively. These data show that the low temperature MWA is a very promising thermal process technology for Ge CMOS manufacturing.


international electron devices meeting | 2015

High performance poly Si junctionless transistors with sub-5nm conformally doped layers by molecular monolayer doping and microwave incorporating CO2 laser annealing for 3D stacked ICs applications

Yao Jen Lee; Ta Chun Cho; Po Jung Sung; Kuo Hsing Kao; Fu Kuo Hsueh; Fu Ju Hou; Po Cheng Chen; Hsiu Chih Chen; Chien Ting Wu; Shu Han Hsu; Yi-Ju Chen; Yao Ming Huang; Yun Fang Hou; Wen-Hsien Huang; Chih-Chao Yang; Bo Yuan Chen; Kun Lin Lin; Min Cheng Chen; Chang Hong Shen; Guo Wei Huang; Kun Ping Huang; Michael I. Current; Yiming Li; Seiji Samukawa; Wen Fa Wu; Jia Min Shieh; Tien Sheng Chao; Wen-Kuan Yeh

A junctionless (JL) fin thin film transistor (FinTFT) with a novel shell doping profile (SDP) formed by a damage-free conformal molecular monolayer doping (MLD) method and a combination of microwave annealing (MWA) and CO2 laser spike annealing (COLSA) is demonstrated and studied. MWA drives in and partially activates the MLD dopants; the resultant SDP features an ultra-shallow depth (<; 5nm) and an abrupt steepness (<; 0.8 nm/dec). The dopant activation of the devices experienced MLD and MWA is further enhanced by the nonmelting COLSA without dopant diffusion, and which can also avoid fin deformation and recover surface defects left by fin patterning. Thanks to the enhanced dopant activation by COLSA, the SDP-FinTFTs overall exhibit better performance than the SDP-FinTFTs without COLSA and the conventional implanted (imp) FinTFTs in terms of subthreshold swing (S.S.), on-currents (Ion by 160% compared to the SDP-FinTFTs without COLSA) and on/off current ratio (Ion/Ioff >107) for 3D stacked ICs applications. Our results reveal the potential of the proposed SDP formed by MLD, MWA and COLSA enabling a JLFinTFT showing excellent performance.


Applied Physics Letters | 2017

Effect of microwave annealing on electrical characteristics of TiN/Al/TiN/HfO2/Si MOS capacitors

Tzu Lang Shih; Yin Hsien Su; Tai Chen Kuo; Wen Hsi Lee; Michael I. Current

In this letter, microwave annealing over a wide range of power (300–2700 W) in nitrogen ambient was performed on TiN/Al/TiN/HfO2/Si metal-oxide-semiconductor capacitors. Capacitors with rapid thermal annealing at 500 °C were also fabricated for comparison at the same wafer temperature measured during microwave annealing at 2700 W. For microwave annealed capacitors, key parameters such as equivalent oxide thickness, interface state density, oxide trapped charge, leakage current density, and breakdown voltage were all improved with increasing microwave annealing power. For the capacitor with rapid thermal annealing at 500 °C, diffusion of Al into TiN and growth of the interfacial oxide layer are detected, leading to the shift in flat-band voltage and increase in equivalent oxide thickness, respectively. The results further indicate that it is more effective to remove the charged traps by microwave annealing than by rapid thermal annealing, and the reduction in leakage current density after microwave anneali...


Journal of Applied Physics | 2018

Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

Tai Chen Kuo; Tzu Lang Shih; Yin Hsien Su; Wen Hsi Lee; Michael I. Current; Seiji Samukawa

In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a “damage-free” condition or to approximate “reactive-ion-etching-like” conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the el...


IEEE Transactions on Electron Devices | 2017

High-Performance Uniaxial Tensile Strained n-Channel JL SOI FETs and Triangular JL Bulk FinFETs for Nanoscaled Applications

Po-Jung Sung; Ta-Chun Cho; Fu-Ju Hou; Fu-Kuo Hsueh; Sheng-Ti Chung; Yao-Jen Lee; Michael I. Current; Tien-Sheng Chao

Collaboration


Dive into the Michael I. Current's collaboration.

Top Co-Authors

Avatar

Fu-Kuo Hsueh

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Yao-Jen Lee

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Po-Jung Sung

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Ta-Chun Cho

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Tien-Sheng Chao

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Ching-Yi Wu

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Kuo Hsing Kao

National Cheng Kung University

View shared research outputs
Top Co-Authors

Avatar

Shang-Shiun Chuang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Tseung-Yuen Tseng

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge