Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Rashi Garg is active.

Publication


Featured researches published by Rashi Garg.


Proceedings of SPIE | 2008

EUV optics contamination studies in presence of selected hydrocarbons

Rashi Garg; Andrea Wüest; Eric M. Gullikson; Sasa Bajt; Greg Denbeaux

One of the remaining challenges for the commercialization of EUV lithography is the lifetime of the Mo/Si multilayer optics and masks. The lifetime is dominated by carbon contamination on the surfaces of the optics, which is caused by residual hydrocarbons in the vacuum chamber when optics are exposed to EUV radiation. One of the possible sources of the hydrocarbons in the chamber is resist outgassing. To be able to understand which type of hydrocarbons are harmful to EUV mirror reflectivity, three hydrocarbon species - benzene, tert-butanol and diphenyl sulfide - which are thought to be representative of commonly outgassed species from EUV photoresist were selected. The goal of this work was to measure the contamination rate from these three species and to be able to draw conclusions about other species. The results of the experiments showed that after 8 hours of exposure there was not enough contamination to be significantly measurable. In addition to these hydrocarbon species, we also used vacuum grease and carbon tape as an outgassing source for hydrocarbons. Comparatively, high contamination rates were achieved with vacuum grease and carbon tape.


Proceedings of SPIE | 2009

EUV resist outgassing: scaling to HVM intensity

Alin Antohe; Chimaobi Mbanaso; Yu-Jen Fan; Leonid Yankulin; Rashi Garg; Petros Thomas; Gregory Denbeaux; Emil Piscani; Andrea Wüest

Typical extreme ultraviolet (EUV) photoresist is known to outgas carbon-containing molecules, which is of particular concern to the industry as these molecules tend to contaminate optics and diminish reflectivity. This prompted extensive work to measure these species and the quantities that they outgas in a vacuum environment. Experiments were performed to test whether the outgassing rate of these carbon-containing molecules is directly proportional to the rate at which the EUV photons arrive and whether a very high power exposure will cause the same amount of outgassing as a much lower power exposure with the dose unchanged.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2010

Effect of carbon contamination on the printing performance of extreme ultraviolet masks

Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Petros Thomas; Chimaobi Mbanaso; Rashi Garg; Yunfei Wang; Andrea Wüest; Frank Goodwin; Sungmin Huh; Patrick P. Naulleau; Kenneth A. Goldberg; Iacopo Mochi; G. Denbeaux

Carbon contamination is a significant issue with extreme ultraviolet (EUV) masks because it lowers throughput and has potential effects on imaging performance. Current carbon contamination research is primarily focused on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and can affect the printed images. In this work, various carbon contamination experiments were performed to study the impact between contamination topography and observed imaging performance. Lithographic simulation using calculated aerial images and experimentally determined resist parameters was performed and compared to the printing results to estimate the allowed carbon thickness with critical dimension compensation applied to the mask.


Proceedings of SPIE | 2010

A simple null-field ellipsometric imaging system (NEIS) for in-situ monitoring of EUV-induced deposition on EUV optics

Rashi Garg; N. S. Faradzhev; Shannon B. Hill; Lee J. Richter; Ping-Shine Shaw; Robert E. Vest; Thomas B. Lucatorto

We describe a null-field ellipsometric imaging system (NEIS) that provides for the real-time imaging of carbon deposition profiles on extreme-ultraviolet (EUV) optics in a vacuum system. NEIS has been demonstrated at NIST on a small chamber that is used for EUV optics lifetime testing. The system provides images of carbon deposition spots with sub-nanometer resolution thickness measurements that maintain good agreement with those from ex-situ spectral ellipsometry (SE) and x-ray photoelectron spectroscopy (XPS). The system will be implemented on several synchrotron beamlines for real-time monitoring of carbon film growth on optics during EUV irradiation.


Proceedings of SPIE | 2009

Assumptions and trade-offs of extreme ultraviolet optics contamination modeling

Vibhu Jindal; Rashi Garg; Greg Denbeaux; Andrea Wüest

Extreme ultraviolet (EUV) lithography is one of the most promising candidates for device patterning at the 22 nm halfpitch node. The contamination of extreme ultraviolet optics has consistently been listed among the top challenges for the commercialization of EUV lithography. In a lithography exposure tool under radiation exposure, the two main mechanisms that degrade reflectivity of EUV molybdenum/silicon multilayer optics are carbonization and oxidation. The accumulation of carbon on the mirror surfaces is a consequence of residual hydrocarbons and/or other carbon containing molecules, while oxidation is likely due to water vapor. Theoretical and numerical modeling of EUV optics and mask contamination kinetics can provide valuable insight into reaction mechanisms and help identify favorable conditions that suppress contamination accumulation. However, the complexity of the underlying surface chemistry currently renders obtaining predictive quality challenging. We investigate the validity of different model assumptions and present preliminary numerical results on the dependence of contamination rates on key parameters including the effect of out-of-band radiation.


Proceedings of SPIE | 2009

Carbon contamination of extreme ultraviolet (EUV) masks and its effect on imaging

Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Rashi Garg; Petros Thomas; Chimaobi Mbanaso; Andrea Wüest; Frank Goodwin; Sungmin Huh; Patrick P. Naulleau; Kenneth A. Goldberg; Iacopo Mochi; Gregory Denbeaux

Carbon contamination of extreme ultraviolet (EUV) masks and its effect on imaging is a significant issue due to lowered throughput and potential effects on imaging performance. In this work, a series of carbon contamination experiments were performed on a patterned EUV mask. Contaminated features were then inspected with a reticle scanning electron microscope (SEM) and printed with the SEMATECH Berkeley Microfield-Exposure tool (MET) [1]. In addition, the mask was analyzed using the SEMATECH Berkeley Actinic-Inspection tool (AIT) [2] to determine the effect of carbon contamination on the absorbing features and printing performance. To understand the contamination topography, simulations were performed based on calculated aerial images and resist parameters. With the knowledge of the topography, simulations were then used to predict the effect of other thicknesses of the contamination layer, as well as the imaging performance on printed features.


Proceedings of SPIE | 2010

Carbon contamination topography analysis of EUV masks

Yu-Jen Fan; Leonid Yankulin; Petros Thomas; Chimaobi Mbanaso; Alin Antohe; Rashi Garg; Yunfei Wang; Thomas Murray; Andrea Wüest; Frank Goodwin; Sungmin Huh; Aaron Cordes; Patrick P. Naulleau; Kenneth A. Goldberg; Iacopo Mochi; Eric M. Gullikson; Gregory Denbeaux

The impact of carbon contamination on extreme ultraviolet (EUV) masks is significant due to throughput loss and potential effects on imaging performance. Current carbon contamination research primarily focuses on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on absorbing features and the printed images, as well as impacting the efficiency of cleaning process. In this work, several different techniques were used to determine possible contamination topography. Lithographic simulations were also performed and the results compared with the experimental data.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Quantitative measurement of EUV resist outgassing

Greg Denbeaux; Rashi Garg; Justin Waterman; Chimaobi Mbanaso; Jeroen Netten; Robert Brainard; Yu-Jen Fan; Leonid Yankulin; Alin Antohe; Kevin DeMarco; Molly Jaffe; Matthew Waldron; Kim Dean

The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV radiation [4-7].


Proceedings of SPIE | 2010

Wavelength dependence of carbon contamination on mirrors with different capping layers

Petros Thomas; Leonid Yankulin; Yashdeep Khopkar; Rashi Garg; Chimaobi Mbanaso; Alin Antohe; Yu-Jen Fan; Gregory Denbeaux; Samir Aouadi; Vibhu Jindal; Andrea Wüest

Optics contamination remains one of the challenges in extreme ultraviolet (EUV) lithography. In addition to the desired wavelength near 13.5 nm (EUV), plasma sources used in EUV exposure tools emit a wide range of out-of-band (OOB) wavelengths extending as far as the visible region. We present experimental results of contamination rates of EUV and OOB light using a Xe plasma source and filters. Employing heated carbon tape as a source of hydrocarbons, we have measured the wavelength dependence of carbon contamination on a Ru-capped mirror. These results are compared to contamination rates on TiO2 and ZrO2 capping layers.


Proceedings of SPIE | 2007

Absorption measurments of extreme ultraviolet radiation in photoresists

Rashi Garg; Alin Antohe; Gregory Denbeaux

Extreme ultraviolet (EUV) lithography is one of the promising techniques for the fabrication of semiconductor features at or below 32 nm. One of the key parameters that can affect photoresist performance is their absorption characteristics at EUV wavelengths. The measurement of the absorption length or absorbance is important because it causes the dose to vary through the thickness of resist which can result in underexposure deeper in the resist. One method for measuring absorption length of a resist is by direct measurement of the transmission of EUV radiation through the resist when it is on a transparent membrane. The results of these measurements show the absorbance for different photoresists currently used for extreme ultraviolet lithography.

Collaboration


Dive into the Rashi Garg's collaboration.

Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

G. Denbeaux

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge