Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shreesh Narasimha is active.

Publication


Featured researches published by Shreesh Narasimha.


international electron devices meeting | 2004

Dual stress liner for high performance sub-45nm gate length SOI CMOS manufacturing

H.S. Yang; R. Malik; Shreesh Narasimha; Y. Li; Rama Divakaruni; P. Agnello; Scott D. Allen; A. Antreasyan; J.C. Arnold; K. Bandy; M. Belyansky; A. Bonnoit; G. Bronner; V. Chan; X. Chen; Zhihong Chen; D. Chidambarrao; Anthony I. Chou; W. Clark; S. Crowder; B. Engel; H. Harifuchi; S.-F. Huang; R. Jagannathan; F.F. Jamin; Y. Kohyama; H. Kuroda; C.W. Lai; H.K. Lee; W.-H. Lee

For the first time, tensile and compressively stressed nitride contact liners have been simultaneously incorporated into a high performance CMOS flow. This dual stress liner (DSL) approach results in NFET/PFET effective drive current enhancement of 15%/32% and saturated drive current enhancement of 11%/20%. Significant hole mobility enhancement of 60% is achieved without using SiGe. Inverter ring oscillator delay is reduced by 24% with DSL. Overall yield for the DSL process is comparable to that of a similar technology without DSL. Single and multi-core SOI microprocessors are being manufactured using the DSL process in multiple, high-volume fabrication facilities.


international electron devices meeting | 2007

Record RF performance of 45-nm SOI CMOS Technology

Sungjae Lee; Basanth Jagannathan; Shreesh Narasimha; Anthony I. Chou; Noah Zamdmer; J. Johnson; Richard Q. Williams; Lawrence Wagner; Jonghae Kim; Jean-Olivier Plouchart; John J. Pekarik; Scott K. Springer; Greg Freeman

We report record RF performance in 45-nm silicon-on- insulator (SOI) CMOS technology. RF performance scaling with channel length and layout optimization is demonstrated. Peak fTs of 485 GHz and 345 GHz are measured in floating- body NFET and PFET with nearby wiring parasitics (i.e., gate- to-contact capacitance) included after de-embedding, thus representing FET performance in a real design. The measured fTs are the highest ever reported in a CMOS technology. Body- contacted FETs are also analyzed that have layout optimized for high-frequency analog applications. Employing a notched body contact layout, we reduce parasitic capacitance and gate leakage current significantly, thus improving RF performance with low power. For longer than minimum channel length and a body-contacted NFET with notched layout, we measure a peak fT of 245 GHz with no degradation in critical analog figures of merit, such as self-gain.


international electron devices meeting | 2006

High Performance 45-nm SOI Technology with Enhanced Strain, Porous Low-k BEOL, and Immersion Lithography

Shreesh Narasimha; K. Onishi; Hasan M. Nayfeh; A. Waite; M. Weybright; J. Johnson; C. Fonseca; D. Corliss; C. Robinson; M. Crouse; D. Yang; C.-H.J. Wu; A. Gabor; Thomas N. Adam; I. Ahsan; M. Belyansky; L. Black; S. Butt; J. Cheng; Anthony I. Chou; G. Costrini; Christos D. Dimitrakopoulos; A. Domenicucci; P. Fisher; A. Frye; S. M. Gates; S. Greco; S. Grunow; M. Hargrove; Judson R. Holt

We present a 45-nm SOI CMOS technology that features: i) aggressive ground-rule (GR) scaling enabled by 1.2NA/193nm immersion lithography, ii) high-performance FET response enabled by the integration of multiple advanced strain and activation techniques, iii) a functional SRAM with cell size of 0.37mum2, and iv) a porous low-k (k=2.4) dielectric for minimized back-end wiring delay. The list of FET-specific performance elements includes enhanced dual-stress liner (DSL), advanced eSiGe, stress memorization (SMT), and advanced anneal (AA). The resulting PFET/NFET Idsat values, at Vdd of 1.0V and 45nm GR gate pitch, are 840muA/mum and 1240muA/mum respectively. The global wiring delay achieved with k=2.4 reflects a 20% reduction compared to k=3.0


international electron devices meeting | 2011

A manufacturable dual channel (Si and SiGe) high-k metal gate CMOS technology with multiple oxides for high performance and low power applications

Siddarth A. Krishnan; Unoh Kwon; Naim Moumen; M.W. Stoker; Eric C. Harley; Stephen W. Bedell; D. Nair; Brian J. Greene; William K. Henson; M. Chowdhury; D.P. Prakash; Ernest Y. Wu; Dimitris P. Ioannou; E. Cartier; Myung-Hee Na; Seiji Inumiya; Kevin McStay; Lisa F. Edge; Ryosuke Iijima; J. Cai; Martin M. Frank; M. Hargrove; Dechao Guo; A. Kerber; Hemanth Jagannathan; Takashi Ando; Joseph F. Shepard; Shahab Siddiqui; Min Dai; Huiming Bu

Band-gap engineering using SiGe channels to reduce the threshold voltage (VTH) in p-channel MOSFETs has enabled a simplified gate-first high-к/metal gate (HKMG) CMOS integration flow. Integrating Silicon-Germanium channels (cSiGe) on silicon wafers for SOC applications has unique challenges like the oxidation rate differential with silicon, defectivity and interface state density in the unoptimized state, and concerns with Tinv scalability. In overcoming these challenges, we show that we can leverage the superior mobility, low threshold voltage and NBTI of cSiGe channels in high-performance (HP) and low power (LP) HKMG CMOS logic MOSFETs with multiple oxides utilizing dual channels for nFET and pFET.


international electron devices meeting | 2002

Low field mobility characteristics of sub-100 nm unstrained and strained Si MOSFETs

Kern Rim; Shreesh Narasimha; M. Longstreet; Anda C. Mocuta; J. Cai

A novel mobility extraction technique showed that the mobility enhancements in strained Si MOSFETs were retained in deep sub-100 nm channel lengths. Mobility measurement in devices with channel lengths down to 40 nm was demonstrated by a dR/dL extraction method. The results confirmed and quantified the mobility enhancements despite the presence of high halo doping in scaled strained Si MOSFETs.


international electron devices meeting | 2012

22nm High-performance SOI technology featuring dual-embedded stressors, Epi-Plate High-K deep-trench embedded DRAM and self-aligned Via 15LM BEOL

Shreesh Narasimha; Paul Chang; C. Ortolland; David M. Fried; E. Engbrecht; K. Nummy; Paul C. Parries; Takashi Ando; M. Aquilino; N. Arnold; R. Bolam; J. Cai; Michael P. Chudzik; B. Cipriany; G. Costrini; Min Dai; J. Dechene; C. DeWan; B. Engel; Michael A. Gribelyuk; Dechao Guo; G. Han; N. Habib; Judson R. Holt; Dimitris P. Ioannou; Basanth Jagannathan; D. Jaeger; J. Johnson; W. Kong; J. Koshy

We present a fully-integrated SOI CMOS 22nm technology for a diverse array of high-performance applications including server microprocessors, memory controllers and ASICs. A pre-doped substrate enables scaling of this third generation of SOI deep-trench-based embedded DRAM for a dense high-performance memory hierarchy. Dual-Embedded stressor technology including SiGe and Si:C for improved carrier mobility in both PMOS and NMOS FETs is presented for the first time. A hierarchical BEOL with 15 levels of copper interconnect including self-aligned via processing delivers high performance with exceptional reliability.


symposium on vlsi technology | 2004

A 243-GHz F/sub t/ and 208-GHz F/sub max/, 90-nm SOI CMOS SoC technology with low-power millimeter-wave digital and RF circuit capability

Noah Zamdmer; Jonghae Kim; R. Trzcinski; Jean-Olivier Plouchart; Shreesh Narasimha; M. Khare; Lawrence Wagner; S. Chaloux

SOI CMOS technology offers low parasitic junction capacitance, and therefore provides speed and power enhancements to digital applications compared to bulk CMOS. It is also emerging as a good candidate for high-performance SoC, with integratable RF circuits that operate beyond 30-GHz already demonstrated at the 130-nm technology node. The digital aspects of the base 90-nm SOI technology were previously reported. This paper presents the RF performance of this technology, and shows that the capabilities of CMOS technology are expanding into the millimeter-wave regime.


international electron devices meeting | 2002

A high performance 90nm SOI technology with 0.992 /spl mu/m 2 6T-SRAM cell

M. Khare; Suk Hoon Ku; R. Donaton; S. Greco; C. Brodsky; X. Chen; Anthony I. Chou; R. DellaGuardia; S. V Deshpande; Bruce B. Doris; S.K.H. Fung; A. Gabor; Michael A. Gribelyuk; Steven J. Holmes; F.F. Jamin; Wing L. Lai; Woo-Hyeong Lee; Y. Li; P. McFarland; R. Mo; S. Mittl; Shreesh Narasimha; D. Nielsen; R. Purtell; W. Rausch; S. Sankaran; J. Snare; L. Tsou; Alex Vayshenker; T. Wagner

This paper presents a high performance 90 nm generation SOI CMOS logic technology. Leveraging unique SOI technology features, aggressive ground rules and a tungsten local interconnect rendered the smallest 6T SRAM cell reported to date with a cell area of 0.992 /spl mu/m/sup 2/. In the front-end of line (FEOL), the implementation of super-halo design concepts on SOI substrates with a silicon thickness of 45 nm and an ultra-thin heavily nitrided gate dielectric resulted in highest performance devices. The backend of the line (BEOL) for this technology consists of damascene local interconnect followed by up to 10 levels of hierarchical Cu metallization. It utilizes SiLK/spl trade/ low-K dielectric material with a multilayer hard mask stack.


international electron devices meeting | 2002

Performance enhancement on sub-70 nm strained silicon SOI MOSFETs on ultra-thin thermally mixed strained silicon/SiGe on insulator (TM-SGOI) substrate with raised S/D

B.H. Lee; Anda C. Mocuta; Stephen W. Bedell; Huajie Chen; Devendra K. Sadana; Kern Rim; P. O'Neil; R. Mo; Kevin K. Chan; Cyril Cabral; Christian Lavoie; D. Mocuta; Ashima B. Chakravarti; R.M. Mitchell; J. Mezzapelle; F. Jamin; M. Sendelbach; H. Kermel; Michael A. Gribelyuk; A. Domenicucci; Keith A. Jenkins; Shreesh Narasimha; Suk Hoon Ku; Meikei Ieong; I.Y. Yang; Effendi Leobandung; Paul D. Agnello; Wilfried Haensch; Jeffrey J. Welser

High quality ultra-thin TM-SGOI substrate with T/sub SOI/ < 55 nm is developed to combine the device benefits of strained silicon and SOI. 80-90% Id,sat and electron mobility increase are shown in long channel nFET device. For the first time, 20-25% device performance enhancement is demonstrated at 55 nm short channel strained silicon SGOI nFET devices.


international electron devices meeting | 2001

High performance sub-40 nm CMOS devices on SOI for the 70 nm technology node

Shreesh Narasimha; A. Ajmera; Hui Wan Park; Dominic J. Schepis; N. Zamdmer; K.A. Jenkins; J.-O. Plouchart; Woo-Hyeong Lee; J. Mezzapelle; J. Bruley; Bruce B. Doris; Jeffrey W. Sleight; S.K.H. Fung; Suk Hoon Ku; Anda C. Mocuta; I. Yang; P. Gilbert; Karl Paul Muller; Paul D. Agnello; Jeffrey J. Welser

This work reports on a methodology for achieving high drive current and low gate delay that can be used for the 70 nm technology node. A combination of optimized device design and aggressive gate oxide scaling has been applied to fabricate transistors with saturation currents of 1080 uA/um (NFET, 1171 uA/um dynamic) and 490 uA/um (PFET, 507 uA/um dynamic) at I/sub off/ levels of 100 nA/um for 1.1 volt operation. The physical gate length (L/sub poly/) for these devices is 39 nm. The saturation currents increase to 1180 uA/um and 540 uA/um at I/sub off/ levels of 300 nA/um, which corresponds to gate delays of 0.61 ps and 1.25 ps for NFET and PFET, respectively. These are among the lowest CV/I values ever reported for conventional CMOS scaling. These devices also exhibit excellent high-frequency response, which makes this technology ideally suited for system-on-chip applications that require both high-frequency signal processing and high-speed digital logic. A record high NFET f/sub max/ of 193 GHz has been demonstrated along with an f/sub T/ of 178 GHz.

Researchain Logo
Decentralizing Knowledge