Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Won-sang Song is active.

Publication


Featured researches published by Won-sang Song.


international electron devices meeting | 2002

50nm gate length logic technology with 9-layer Cu interconnects for 90nm node SoC applications

Yo-Han Kim; Chang Bong Oh; Y.G. Ko; K.T. Lee; Jung-Chak Ahn; T. Park; Hee Sung Kang; Deok-Hyung Lee; M.K. Jung; H.J. Yu; K.S. Jung; S.H. Liu; Byung Jun Oh; K. Kim; N.I. Lee; Moon-han Park; Geum-Jong Bae; Sangjoo Lee; Won-sang Song; Y.G. Wee; Chang-Hoon Jeon; Kwang Pyuk Suh

A 90 nm generation logic technology with Cu/low-k interconnects is reported. 50 nm transistors are employed using gate oxide 1.3 nm in thickness and operating at 1.0 V. High speed transistors have drive currents of 870 /spl mu/A/pm and 360 /spl mu/A//spl mu/m for NMOS and PMOS respectively, while generic transistors have currents of 640 /spl mu/A//spl mu/m and 260 /spl mu/A//spl mu/m respectively. Low power process using high-k gate dielectrics and SOI process are also provided in this technology. The low-k SiOC material with 2.9 in the k value is used for 9 layers of dual damascene Cu/low-k interconnects. The effective k (k/sub eff/) value of interconnect is about 3.6. Fully working 6-T SRAM cell with an area of 1.1 /spl mu/m/sup 2/ and SNM value of 330 mV is obtained. For MIM capacitor, voltage coefficient of capacitance is less than 20 ppm/V.


international electron devices meeting | 1998

High performance pMOSFET with BF/sub 3/ plasma doped gate/source/drain and S/D extension

Jong-Bong Ha; Junekyun Park; Wook-Je Kim; Won-sang Song; Hong-ki Kim; Ho Ju Song; K. Fujihara; Ho Kyu Kang; Myoung-Bum Lee; S. Felch; U. Jeong; Matthew Goeckner; K.H. Shim; H.J. Kim; Hyunwoo Cho; Y.K. Kim; D.H. Ko; G.C. Lee

A BF/sub 3/ Plasma doping (PLAD) process has been utilized in source/drain/gate and shallow S/D extension for high performance 0.18 /spl mu/m pMOSFET. Gate oxide reliability, drain current, and transconductance of the pMOSFET with BF/sub 3/ PLAD are remarkably improved compared to those of BF/sub 2/ ion implanted devices. Cobalt salicide formation is also compatible with the plasma doped S/D junction.


international symposium on the physical and failure analysis of integrated circuits | 2001

Characterization of Cu extrusion failure mode in dual-damascene Cu/low-k interconnects under electromigration reliability test

Jeung-Woo Kim; Won-sang Song; Sam-Young Kim; Hyan-Soo Kim; Hyun-Goo Jeon; Chae-Bog Lim

With low electrical resistivity and superb electromigration properties relative to Al, Cu is considered an exemplary candidate for metallization in logic devices. The electromigration characteristics, however, are highly contingent upon the test criteria, which in turn vary with the test structure and/or materials, e.g. inter/intra-metal dielectrics. The thermal mismatch stress existing between low-k SiOF and Cu, for instance, degrades the metal adhesion and curtails the device lifetime (Riedel, 1997). Such deleterious stress may also induce an extrusion mode failure, resulting in an unstable EM data with high sigma (Ennis, 2000) and an improper estimation of via lifetime. In this study, we identify a few pertinent factors involved in the formation of Cu extrusion mode failures in a Cu-SiOF dual damascene structure, and propose a possible underlying mechanism. Extrusion-free specimens, i.e. once the problem is eliminated, show an activation energy of about 0.81 eV, and the EM failures are limited to the via regions.


international interconnect technology conference | 2003

Advanced i-PVD barrier metal deposition technology for 90 nm Cu interconnects

Kyung-Hee Park; Il-Goo Kim; Bong-seok Suh; S. Choi; Won-sang Song; Young-Jin Wee; Sun-jung Lee; J.-S. Chung; Ju-hyuck Chung; S.-R. Hah; J.-H. Ahn; K.-T. Lee; Hyon-Goo Kang; Kwang Pyuk Suh

An advanced i-PVD(ionized physical vapor deposition) barrier metal deposition technology has been developed for 90 nm Cu interconnects. The feature of this technology is to re-sputter the thick barrier metal at the contact/trench bottom, which was deposited by i-PVD, and attach the re-sputtered barrier metal to the sidewall. By using this technology, it is possible to obtain relatively thin bottom and thick sidewall coverage and thus a more conformal deposition. This technology is shown to be very effective in both lowering via resistance and improving reliabilities of 90 nm Cu interconnects embedded in SiOC-type low-k(k=2.9) inter-metal dielectric.


symposium on vlsi technology | 2002

Re-defining reliability assessment per new intra-via Cu leakage degradation

Won-sang Song; Chang-Sub Lee; Kyung-Hee Park; Bong-seok Suh; Jin Won Kim; Seoung-Hyun Kim; Young-Jin Wee; S. Choi; Ho Kyu Kang; Sung-Ryul Kim; Kwang Pyuk Suh

By stressing via-incorporated interconnect structures, we demonstrate for the first time the accelerated deterioration of leakage reliability relative to conventional biased-thermal-stressing of Cu line/space modules. Electric field analyses confirm said finding, invoking the need to correspondingly adjust the reliability testing criteria to ensure the most conservative lifetime projection. Two important collateral consequences include leakage aggravation with Ar plasma treatment prior to barrier metal deposition and bias direction dependence of intra-via or line-via reliability.


international interconnect technology conference | 2001

Electromigration reliability of dual damascene copper interconnect with different IMD structures

Young-Jin Wee; Ki-Chul Park; Won-sang Song; Hyeon-deok Lee; Wo-Kyu Kang; Joo-Tae Moon

Electromigration behavior of dual damascene Cu interconnect has been investigated comparing PE-TEOS SiO/sub 2/ with fluorine doped SiO/sub 2/ (FSG). MTFs of FSG in both line and contact EM tests were significantly shorter than those of PE-TEOS. The higher compressive stress and fluorine of FSG dielectric are considered to affect the EM reliability performance of the confined Cu interconnect.


symposium on vlsi technology | 2003

A HSQ-based inorganic sacrificial via filler-assisted 90 nm-node Cu/low-k OSG dual damascene process integration

K.-W. Lee; Sang-In Lee; W.J. Park; B.J. Oh; Jung-hyeon Kim; Seung-Jun Lee; K.K. Park; I.G. Kim; J.H. Chung; K.T. Lee; Y.J. We; Won-sang Song; S.R. Hah; Hyon-Goo Kang; Kwang Pyuk Suh

Integrating FSG dual damascene interconnects using MSQ-based sacrificial via filler has been previously shown. When applying such via filler to a Cu/low-k OSG integration, however, the requisite O/sub 2/-ashing induces an inevitable damage to the low-k OSG due to the challenge in selectively eliminating such filler using conventional wet chemistry. By employing an inorganic HSQ that can readily be removed per dilute fluoric acid cleaning in low-k OSG structure, we demonstrated not only a more viable technology with lower defect density at each process step, e.g., photolithography and etching, but also a simpler process that selectively removes the filler material relative to the existing technology based on MSQ and/or organic fillers.


international electron devices meeting | 2002

Cost-effective "BARC/resist-via-fill free" integration technology for 0.13 /spl mu/m Cu/low-k

Soo-Geun Lee; Kyoung-Woo Lee; Il-Goo Kim; Wan-jae Park; Young-Jin Wee; Won-sang Song; Jae-Hak Kim; Seung-Jin Lee; Hyeok-Sang Oh; Yong-Tak Lee; Joo-Hyuk Chung; Ho-Kyu Kang; Kwang-Pyuk Suh

Demonstrates the first successful integration scheme free of BARC/resist via-fill that not only significantly simplifies the overall process complexity, but also reduces cost and process instabilities by employing an OSG (k=2.9)/ HDP-FSG dual ILD structure in conjunction with our proprietary plasma induced polymeric etch stopper (PIPS) in a 7-metal level 0. 13 /spl mu/m design node. The via poisoning problem and low selectivity of etch stopper were overcome by optimizing ILD structure and PIPS etch process. The electrical characteristics and reliability results indicate that the current integration scheme is highly manufacturable.


Archive | 2002

Apparatus for testing reliability of interconnection in integrated circuit

Won-sang Song; Jung-Woo Kim; Chang-Sub Lee; Sam-Young Kim; Young-Jin Wee; Ki-Chul Park


Archive | 2002

Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step

Tai-su Park; Kyung-Won Park; Jung-Woo Park; Won-sang Song

Collaboration


Dive into the Won-sang Song's collaboration.

Researchain Logo
Decentralizing Knowledge