Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Zheng Tao is active.

Publication


Featured researches published by Zheng Tao.


symposium on vlsi technology | 2015

Strained germanium quantum well p-FinFETs fabricated on 45nm Fin pitch using replacement channel, replacement metal gate and germanide-free local interconnect

Liesbeth Witters; Jerome Mitard; R. Loo; Steven Demuynck; Soon Aik Chew; Tom Schram; Zheng Tao; Andriy Hikavyy; Jianwu Sun; Alexey Milenin; Hans Mertens; C. Vrancken; Paola Favia; Marc Schaekers; Hugo Bender; Naoto Horiguchi; Robert Langer; K. Barla; D. Mocuta; Nadine Collaert; A. V-Y. Thean

Strained Ge p-channel FinFETs on Strain Relaxed SiGe are integrated for the first time on high density 45nm Fin pitch using a replacement channel approach on Si substrate. In comparison to our previous work on isolated sGe FinFETs [1], 14/16nm technology node compatible modules such as replacement metal gate and germanide-free local interconnect were implemented. The ION/IOFF benchmark shows the high density strained Ge p-FinFETs in this work outperform the best published isolated strained Ge on SiGe devices.


symposium on vlsi technology | 2016

Gate-all-around MOSFETs based on vertically stacked horizontal Si nanowires in a replacement metal gate process on bulk Si substrates

Hans Mertens; Romain Ritzenthaler; Andriy Hikavyy; Min-Soo Kim; Zheng Tao; Kurt Wostyn; Soon Aik Chew; A. De Keersgieter; Geert Mannaert; Erik Rosseel; Tom Schram; K. Devriendt; Diana Tsvetanova; H. Dekkers; Steven Demuynck; Adrian Vaisman Chasin; E. Van Besien; Anish Dangol; S. Godny; Bastien Douhard; N. Bosman; O. Richard; Jef Geypen; Hugo Bender; K. Barla; D. Mocuta; Naoto Horiguchi; A. V-Y. Thean

We report on gate-all-around (GAA) n- and p-MOSFETs made of 8-nm-diameter vertically stacked horizontal Si nanowires (NWs). We show that these devices, which were fabricated on bulk Si substrates using an industry-relevant replacement metal gate (RMG) process, have excellent short-channel characteristics (SS = 65 mV/dec, DIBL = 42 mV/V for LG = 24 nm) at performance levels comparable to finFET reference devices. The parasitic channels below the Si NWs were effectively suppressed by ground plane (GP) engineering.


symposium on vlsi technology | 2015

Gate-all-around NWFETs vs. triple-gate FinFETs: Junctionless vs. extensionless and conventional junction devices with controlled EWF modulation for multi-VT CMOS

A. Veloso; Geert Hellings; Moonju Cho; Eddy Simoen; K. Devriendt; V. Paraschiv; Emma Vecchio; Zheng Tao; J. J. Versluijs; L. Souriau; Harold Dekkers; S. Brus; Jef Geypen; P. Lagrain; Hugo Bender; Geert Eneman; P. Matagne; A. De Keersgieter; W. Fang; Nadine Collaert; Aaron Thean

We report a comprehensive evaluation of different device architectures from a device and circuit performance viewpoint: gate-all-around (GAA) nanowire (NW) FETs vs. triple-gate finFETs, both built using various doping schemes. GAA devices are obtained via a fins release process, high density compatible, at replacement metal gate (RMG) module, and outperform others per footprint. Junctionless (JL) GAA-NWFETs with excellent electrostatics and smaller IOFF values yield ring oscillators (RO) with substantially lower power dissipation and considerably longer BTI lifetime. Improved reliability is also obtained for extensionless vs. reference FETs with conventional junctions, at comparable device and circuit performance. In addition, a TiAl-based EWF-metal is introduced for the first time in a GAA configuration resulting in higher performing, low-VT, n-type GAA-NWFETs and single-MG 6T-SRAM cells. Noise results show no significant impact of device architecture on gate stack integrity and some benefit for JL and TiAl-based GAA-NWFETs.


symposium on vlsi technology | 2016

Junctionless gate-all-around lateral and vertical nanowire FETs with simplified processing for advanced logic and analog/RF applications and scaled SRAM cells

A. Veloso; B. Parvais; Philippe Matagne; Eddy Simoen; Trong Huynh-Bao; V. Paraschiv; Emma Vecchio; K. Devriendt; Erik Rosseel; Monique Ercken; B. T. Chan; C. Delvaux; Efrain Altamirano-Sanchez; J. J. Versluijs; Zheng Tao; Samuel Suhard; S. Brus; Niamh Waldron; P. Lagrain; O. Richard; Hugo Bender; A. Chasin; B. Kaczer; Tsvetan Ivanov; S. Ramesh; K. De Meyer; Julien Ryckaert; Nadine Collaert; Aaron Thean

We report a comprehensive evaluation of junctionless (JL) vs. conventional inversion-mode (IM) gate-all-around (GAA) nanowire FETs (NWFETs) with the same lateral (L) configuration. Lower I<sub>OFF</sub> values and excellent electrostatics can be obtained with optimized NW doping for a given JL NW size (W<sub>NW</sub>≤25nm, H<sub>NW</sub>~22nm), with increased doping enabling ION improvement without I<sub>OFF</sub> penalty for W<sub>NW</sub> ≤10nm. These devices also appear as a viable option for analog/RF, showing similar speed and voltage gain, and reduced LF noise as compared to IM NWFETs. V<sub>T</sub> mismatch performance shows higher A<sub>VT</sub> with increased NW doping for JL NMOS, with less impact seen for PMOS and at smaller NWs. The JL concept is also demonstrated in vertical (V) GAA-NWFETs with in-situ doped Si epi NW pillars (d<sub>NW</sub>≥20-30nm), integrated on the same 300mm Si platform as lateral devices. Low I<sub>OFF</sub>, I<sub>G</sub>, and good electrostatics are achieved over a wide range of VNW arrays. Lastly, a novel SRAM design is proposed, taking advantage of the JL process simplicity, by vertically stacking two VNWFETs (n/n or p/p) to reduce SRAM area per bit by 39%.


ieee soi 3d subthreshold microelectronics technology unified conference | 2016

Challenges and opportunities of vertical FET devices using 3D circuit design layouts

A. Veloso; Trong Huynh-Bao; Erik Rosseel; V. Paraschiv; K. Devriendt; Emma Vecchio; C. Delvaux; B. T. Chan; Monique Ercken; Zheng Tao; W. Li; Efrain Altamirano-Sanchez; J. J. Versluijs; S. Brus; Philippe Matagne; Niamh Waldron; Julien Ryckaert; D. Mocuta; Nadine Collaert

We report on vertical nanowire FET devices (VNWFETs) with a gate-all-around (GAA) configuration, which offer promising opportunities to enable further CMOS scaling and increased circuit layout efficiency. They allow up to 30% denser SRAM bitcells with improved read and write stability, smaller minimum operating voltages (Vmin), and lower standby leakage values as compared to cells built with lateral GAA-NWFETs. Furthermore, vertical stacking of these devices also opens the path for SRAM 3D scaling, with a design presented here that can enable, with two levels of transistors in the vertical direction, to reduce by 39% the SRAM area per bit. The two vertically stacked VNWFETs are of the same doping type (n/n or p/p), and a lower complexity of implementation may be possible by taking advantage of the junctionless (JL) concept and its process simplicity, a topic also explored in this work.


symposium on vlsi technology | 2015

RMG nMOS 1 st process enabling 10x lower gate resistivity in N7 bulk FinFETs

Lars-Ake Ragnarsson; Harold Dekkers; Tom Schram; Soon Aik Chew; B. Parvais; M. Dehan; K. Devriendt; Zheng Tao; F. Sebaai; Christina Baerts; S. Van Elshocht; Naomi Yoshida; A. Phatak; Christopher Lazik; Adam Brand; W. Clark; D. Fried; D. Mocuta; K. Barla; Naoto Horiguchi; Aaron Thean

A novel RMG process in which the n-type work function metal (nWFM) is deposited first and then selectively removed from the pMOS devices is presented for the first time. The key benefit of this nMOS 1st process lies in increased gate-fill space which results in about 10× improvement in the pMOS effective gate resistivity at gate lengths (LG) around 22 nm, an improvement which is predicted by modeling to extend down to LG<;14 nm. The complete removal of the nWFM in the pMOS devices is evidenced by restored p-type effective work function (eWF) values in large area capacitors and matched pMOS threshold voltage (VT) values in bulk FinFET devices with LG down to 22 nm. Furthermore, selective removal of the nWFM is confirmed physically down to LG~16 nm providing further evidence that the process is scalable towards N7 dimensions.


international memory workshop | 2017

In Depth Analysis of 3D NAND Enablers in Gate Stack Integration and Demonstration in 3D Devices

Chi Lim Tan; Simone Lavizzari; Pieter Blomme; L. Breuil; Guglielma Vecchio; Farid Sebaai; Vasile Paraschiv; Zheng Tao; Bart Schepers; Laura Nyns; Antony Peter; Harold Dekkers; Patrick Ong; Diana Tsvetanova; K. Devriendt; Lieve Teugels; Nancy Heylen; Tom Raymaekers; Nico Jossart; Pasquale Mennella; Romain Delhougne; Senthil Vadakupudhu Palayam; A. Arreghini; Geert Van den bosch; A. Furnemont

An in-depth analysis of gate stack enhancements that enable multi-Gb 3D NAND products is performed. Alternative charge trapping layer, enhanced tunnel oxide based on the VariOT concept and metal gate with Al2O3 high-k liner have been proposed and evaluated. The most promising solutions were successfully integrated in 3D devices. Integration challenges of the replacement gate approach, required to have metal gate in 3D NAND, are also analyzed and discussed in detail.


international electron devices meeting | 2016

Improvement of the CMOS characteristics of bulk Si FinFETs by high temperature ion implantation

Yoshiaki Kikuchi; T. Hopf; Geert Mannaert; Zheng Tao; A. Waite; J. Cournoyer; J. Borniquel; R. Schreutelkamp; Romain Ritzenthaler; Min-Soo Kim; S. Kubicek; Soon Aik Chew; K. Devriendt; Tom Schram; Steven Demuynck; N. Variam; Naoto Horiguchi; D. Mocuta

For the first time, we have established a replacement metal gate complementary metal-oxide-semiconductor process flow for the high temperature ion implantation of bulk Si fin field-effect-transistors on a 45-nm fin pitch design rule, using high temperature spin-on-carbon hard mask and a dedicated patterning process. In this paper, the advantages of high temperature ion implantation and a detailed process flow of the dedicated patterning are explained. Electrical characteristics of metal-oxide-semiconductor field-effect-transistors and ring oscillators are evaluated.


231st ECS Meeting (May 28 - June 1, 2017) | 2017

(Invited) Gate-All-Around Transistors Based on Vertically Stacked Si Nanowires

Hans Mertens; Romain Ritzenthaler; Andriy Hikavyy; Minsoo Kim; Zheng Tao; Kurt Wostyn; Tom Schram; Eddy Kunnen; Lars-Ake Ragnarsson; Harold Dekkers; Toby Hopf; K. Devriendt; Diana Tsvetanova; Soon Aik Chew; Yoshiaki Kikuchi; Els Van Besien; Erik Rosseel; Geert Mannaert; An De Keersgieter; Adrian Vaisman Chasin; S. Kubicek; Anish Dangol; Steven Demuynck; Kathy Barla; Dan Mocuta; Naoto Horiguchi


Silicon Compatible Materials, Processes and Technologies for Advanced Integrated Circuits and Emerging Applications 6 | 2016

Vertical nanowire FET integration and device aspects

Anabela Veloso; Efrain Altamirano Sanchez; S. Brus; Boon Teik Chan; Miroslav Cupak; Morin Dehan; Christie Delvaux; K. Devriendt; Geert Eneman; Monique Ercken; Trong Huynh Bao; Tsvetan Ivanov; Philippe Matagne; Clement Merckling; Vasile Paraschiv; S. Ramesh; Erik Rosseel; Luc Rynders; Arturo Sibaja-Hernandez; Samuel Suhard; Zheng Tao; Emma Vecchio; Niamh Waldron; D. Yakimets; Kristin De Meyer; Dan Mocuta; Nadine Collaert; Aaron Thean

Collaboration


Dive into the Zheng Tao's collaboration.

Top Co-Authors

Avatar

K. Devriendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Erik Rosseel

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Nadine Collaert

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Boon Teik Chan

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Emma Vecchio

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Brus

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Niamh Waldron

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Philippe Matagne

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Aaron Thean

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

D. Mocuta

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge