M. Khare
IBM
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by M. Khare.
international electron devices meeting | 2006
Shreesh Narasimha; K. Onishi; Hasan M. Nayfeh; A. Waite; M. Weybright; J. Johnson; C. Fonseca; D. Corliss; C. Robinson; M. Crouse; D. Yang; C.-H.J. Wu; A. Gabor; Thomas N. Adam; I. Ahsan; M. Belyansky; L. Black; S. Butt; J. Cheng; Anthony I. Chou; G. Costrini; Christos D. Dimitrakopoulos; A. Domenicucci; P. Fisher; A. Frye; S. M. Gates; S. Greco; S. Grunow; M. Hargrove; Judson R. Holt
We present a 45-nm SOI CMOS technology that features: i) aggressive ground-rule (GR) scaling enabled by 1.2NA/193nm immersion lithography, ii) high-performance FET response enabled by the integration of multiple advanced strain and activation techniques, iii) a functional SRAM with cell size of 0.37mum2, and iv) a porous low-k (k=2.4) dielectric for minimized back-end wiring delay. The list of FET-specific performance elements includes enhanced dual-stress liner (DSL), advanced eSiGe, stress memorization (SMT), and advanced anneal (AA). The resulting PFET/NFET Idsat values, at Vdd of 1.0V and 45nm GR gate pitch, are 840muA/mum and 1240muA/mum respectively. The global wiring delay achieved with k=2.4 reflects a 20% reduction compared to k=3.0
international electron devices meeting | 2011
Siddarth A. Krishnan; Unoh Kwon; Naim Moumen; M.W. Stoker; Eric C. Harley; Stephen W. Bedell; D. Nair; Brian J. Greene; William K. Henson; M. Chowdhury; D.P. Prakash; Ernest Y. Wu; Dimitris P. Ioannou; E. Cartier; Myung-Hee Na; Seiji Inumiya; Kevin McStay; Lisa F. Edge; Ryosuke Iijima; J. Cai; Martin M. Frank; M. Hargrove; Dechao Guo; A. Kerber; Hemanth Jagannathan; Takashi Ando; Joseph F. Shepard; Shahab Siddiqui; Min Dai; Huiming Bu
Band-gap engineering using SiGe channels to reduce the threshold voltage (VTH) in p-channel MOSFETs has enabled a simplified gate-first high-к/metal gate (HKMG) CMOS integration flow. Integrating Silicon-Germanium channels (cSiGe) on silicon wafers for SOC applications has unique challenges like the oxidation rate differential with silicon, defectivity and interface state density in the unoptimized state, and concerns with Tinv scalability. In overcoming these challenges, we show that we can leverage the superior mobility, low threshold voltage and NBTI of cSiGe channels in high-performance (HP) and low power (LP) HKMG CMOS logic MOSFETs with multiple oxides utilizing dual channels for nFET and pFET.
symposium on vlsi technology | 2008
X. Chen; S. Samavedam; Vijay Narayanan; K.J. Stein; C. Hobbs; C. Baiocco; W. Li; D. Jaeger; M. Zaleski; H. S. Yang; N. Kim; Y. Lee; D. Zhang; L.-G. Kang; J. Chen; H. Zhuang; A. Sheikh; J. Wallner; M. Aquilino; J. Han; Zhenrong Jin; Jing Li; G. Massey; S. Kalpat; Rashmi Jha; Naim Moumen; Renee T. Mo; S. Kirshnan; X. Wang; Michael P. Chudzik
For the first time, we have demonstrated a 32 nm high-k/metal gate (HK-MG) low power CMOS platform technology with low standby leakage transistors and functional high-density SRAM with a cell size of 0.157 mum2. Record NMOS/PMOS drive currents of 1000/575 muA/mum, respectively, have been achieved at 1 nA/mum off-current and 1.1 V Vdd with a low cost process. With this high performance transistor, Vdd can be further scaled to 1.0 V for active power reduction. Through aggressive EOT scaling and band-edge work-function metal gate stacks, appropriate Vts and superior short channel control has been achieved for both NMOS and PMOS at Lgate = 30 nm. Compared to SiON-Poly, 30% RO delay reduction has been demonstrated with HK-MG devices. 40% Vt mismatch reduction has been shown with the Tinv scaling. Furthermore, it has been shown that the 1/f noise and transistor reliability exceed the technology requirements.
symposium on vlsi technology | 2007
Michael P. Chudzik; Bruce B. Doris; Renee T. Mo; Jeffrey W. Sleight; E. Cartier; C. Dewan; Dae-Gyu Park; Huiming Bu; W. Natzle; W. Yan; C. Ouyang; K. Henson; Diane C. Boyd; S. Callegari; R. Carter; D. Casarotto; Michael A. Gribelyuk; M. Hargrove; W. He; Young-Hee Kim; Barry P. Linder; Naim Moumen; Vamsi Paruchuri; J. Stathis; M. Steen; A. Vayshenker; X. Wang; Sufi Zafar; Takashi Ando; Ryosuke Iijima
Gate-first integration of band-edge (BE) high-κ/metal gate nFET devices with dual stress liners and silicon-on-insulator substrates for the 45nm node and beyond is presented. We show the first reported demonstration of improved short channel control with high-κ/metal gates (HK/MG) enabled by the thinnest Tinv (≪12Å) for BE nFET devices to-date, consistent with simulations showing the need for ≪14Å Tinv at Lgate≪35nm. We report the highest BE HK/MG nFET Idsat values at 1.0V operation. We also show for the first time BE high-κ/metal gate pFETs fabricated with gate-first high thermal budget processing with thin Tinv (≪13Å) and low Vts appropriate for pFET devices. The reliability in these devices was found to be consistent with technology requirements. Integration of high-κ/metal gate nFETs into CMOS devices yielded large SRAM arrays.
international electron devices meeting | 2012
Kangguo Cheng; Ali Khakifirooz; Nicolas Loubet; S. Luning; T. Nagumo; M. Vinet; Qing Liu; Thomas N. Adam; S. Naczas; Pouya Hashemi; J. Kuss; J. Li; Hong He; Lisa F. Edge; J. Gimbert; Prasanna Khare; Yu Zhu; Zhengmao Zhu; Anita Madan; Nancy Klymko; Steven J. Holmes; T. Levin; A. Hubbard; Richard Johnson; M. Terrizzi; S. Teehan; A. Upham; G. Pfeiffer; T. Wu; A. Inada
For the first time, we report high performance hybrid channel ETSOI CMOS by integrating strained SiGe-channel (cSiGe) PFET with Si-channel NFET at 22nm groundrules. We demonstrate a record high speed ring oscillator (fan-out = 3) with delay of 8.5 ps/stage and 11.2 ps/stage at VDD = 0.9V and VDD = 0.7V, respectively, outperforming state-of-the-art finFET results. A novel “STI-last” integration scheme is developed to improve cSiGe uniformity and enable ultra high performance PFET with narrow widths. Furthermore, cSiGe modulates device Vt, thus providing an additional knob to enable multi-Vt while maintaining undoped channels for all devices.
symposium on vlsi technology | 2004
Noah Zamdmer; Jonghae Kim; R. Trzcinski; Jean-Olivier Plouchart; Shreesh Narasimha; M. Khare; Lawrence Wagner; S. Chaloux
SOI CMOS technology offers low parasitic junction capacitance, and therefore provides speed and power enhancements to digital applications compared to bulk CMOS. It is also emerging as a good candidate for high-performance SoC, with integratable RF circuits that operate beyond 30-GHz already demonstrated at the 130-nm technology node. The digital aspects of the base 90-nm SOI technology were previously reported. This paper presents the RF performance of this technology, and shows that the capabilities of CMOS technology are expanding into the millimeter-wave regime.
international electron devices meeting | 2002
M. Khare; Suk Hoon Ku; R. Donaton; S. Greco; C. Brodsky; X. Chen; Anthony I. Chou; R. DellaGuardia; S. V Deshpande; Bruce B. Doris; S.K.H. Fung; A. Gabor; Michael A. Gribelyuk; Steven J. Holmes; F.F. Jamin; Wing L. Lai; Woo-Hyeong Lee; Y. Li; P. McFarland; R. Mo; S. Mittl; Shreesh Narasimha; D. Nielsen; R. Purtell; W. Rausch; S. Sankaran; J. Snare; L. Tsou; Alex Vayshenker; T. Wagner
This paper presents a high performance 90 nm generation SOI CMOS logic technology. Leveraging unique SOI technology features, aggressive ground rules and a tungsten local interconnect rendered the smallest 6T SRAM cell reported to date with a cell area of 0.992 /spl mu/m/sup 2/. In the front-end of line (FEOL), the implementation of super-halo design concepts on SOI substrates with a silicon thickness of 45 nm and an ultra-thin heavily nitrided gate dielectric resulted in highest performance devices. The backend of the line (BEOL) for this technology consists of damascene local interconnect followed by up to 10 levels of hierarchical Cu metallization. It utilizes SiLK/spl trade/ low-K dielectric material with a multilayer hard mask stack.
symposium on vlsi technology | 2014
Kang-ill Seo; Balasubramanian S. Haran; Dinesh Gupta; Dechao Guo; Theodorus E. Standaert; R. Xie; H. Shang; Emre Alptekin; D.I. Bae; Geum-Jong Bae; C. Boye; H. Cai; D. Chanemougame; R. Chao; Kangguo Cheng; Jin Cho; K. Choi; B. Hamieh; J. Hong; Terence B. Hook; L. Jang; J. E. Jung; R. Jung; Duck-Hyung Lee; B. Lherron; R. Kambhampati; Bum-Suk Kim; H. Kim; Kyu-Sik Kim; T. S. Kim
A 10nm logic platform technology is presented for low power and high performance application with the tightest contacted poly pitch (CPP) of 64nm and metallization pitch of 48nm ever reported in the FinFET technology on both bulk and SOI substrate. A 0.053um2 SRAM bit-cell is reported with a corresponding Static Noise Margin (SNM) of 140mV at 0.75V. Intensive multi-patterning technology and various self-aligned processes have been developed with 193i lithography to overcome optical patterning limit. Multi-workfunction (WF) gate stack has been enabled to provide Vt tunability without the variability degradation induced by channel dopants.
international electron devices meeting | 2008
H.S. Yang; R.C. Wong; R. Hasumi; Y. Gao; N.S. Kim; Deok-Hyung Lee; S. Badrudduza; D. Nair; M. Ostermayr; Ho-Kyu Kang; H. Zhuang; Jing Li; L. Kang; X. Chen; Aaron Thean; F. Arnaud; L. Zhuang; C. Schiller; D. P. Sun; Y.W. Teh; J. Wallner; Y. Takasu; K.J. Stein; Srikanth B. Samavedam; D. Jaeger; C. Baiocco; M. Sherony; M. Khare; Craig S. Lage; J. Pape
This paper describes SRAM scaling for 32 nm low power bulk technology, enabled by high-K metal gate process, down to 0.149 mum2 and 0.124 mum2. SRAM access stability and write margin are significantly improved through a 50% Vt mismatch reduction, thanks to HK-MG Tinv scaling. Cell read current is increased by 70% over Poly-SiON process. Ultra dense cell process window is expanded with optimized contact process. A dual-ground write assist option can additionally enable ultra dense 0.124 mum2 cell to meet low power application requirements.
international electron devices meeting | 2013
Qing Liu; M. Vinet; J. Gimbert; Nicolas Loubet; Romain Wacquez; L. Grenouillet; Y. Le Tiec; Ali Khakifirooz; T. Nagumo; Kangguo Cheng; H. Kothari; D. Chanemougame; F. Chafik; S. Guillaumet; J. Kuss; F. Allibert; Gen Tsutsui; J. Li; Pierre Morin; Sanjay Mehta; Richard Johnson; Lisa F. Edge; Shom Ponoth; T. Levin; Sivananda K. Kanakasabapathy; Balasubramanian S. Haran; Huiming Bu; J.-L Bataillon; O. Weber; O. Faynot
We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L<sub>G</sub>) of 20nm and BOX thickness (T<sub>BOX</sub>) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I<sub>eff</sub>) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I<sub>off</sub>) of 100nA/μm and V<sub>dd</sub> of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A<sub>Vt</sub> (1.3mV·μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.