Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masami Usami is active.

Publication


Featured researches published by Masami Usami.


international solid-state circuits conference | 1998

A 1.8 ns access, 550 MHz 4.5 Mb CMOS SRAM

Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; Yasuhiro Fujimura; Kazumasa Ando; Takeshi Kusunoki; Kunihiko Yamaguchi; Noriyuki Homma

High-speed, high-density 4-4.5Mb CMOS cache SRAMs do not have speed comparable to that of a 4.5Mb BiCMOS SRAM. This 4.5Mb CMOS SRAM has access time equivalent to that of a BiCMOS SRAM. Key techniques for achieving this speed are a decoder using source-coupled-logic (SCL) circuits combined with reset circuits, a sense amplifier with nMOS source followers, and a sense-amplifier activation-pulse generator that uses a duplicate memory-cell array.


IEEE Journal of Solid-state Circuits | 1995

A 0.65-ns, 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM

Hiroaki Nambu; Kazuo Kanetani; Youji Idei; Toru Masuda; Keiichi Higeta; Masayuki Ohayashi; Masami Usami; Kunihiko Yamaguchi; T. Kikuchi; T. Ikeda; K. Ohhata; Takeshi Kusunoki; Noriyuki Homma

An ultrahigh-speed 72-kb ECL-CMOS RAM macro for a 1-Mb SRAM with 0.65-ns address-access time, 0.80-ns write-pulse width, and 30.24-/spl mu/m/sup 2/ memory cells has been developed using 0.3-/spl mu/m BiCMOS technology. Two key techniques for achieving ultrahigh speed are an ECL decoder/driver circuit with a BiCMOS inverter and a write-pulse generator with a replica memory cell. These circuit techniques can reduce access time and write-pulse width of the 72-kb RAM macro to 71% and 58% of those of RAM macros with conventional circuits. In order to reduce crosstalk noise for CMOS memory-cell arrays driven at extremely high speeds, a twisted bit-line structure with a normally on MOS equalizer is proposed. These techniques are especially useful for realizing ultrahigh-speed, high-density SRAMs, which have been used as cache and control storages in mainframe computers. >


IEEE Journal of Solid-state Circuits | 2000

Power reduction techniques for a 1-Mb ECL-CMOS SRAM with an access time of 550 ps and an operating frequency of 900 MHz

K. Ohhata; F. Arakawa; Takeshi Kusunoki; Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; M. Nishiyama; Kunihiko Yamaguchi; Noriyuki Homma; A. Hotta

This paper describes power reduction circuit techniques in an ultra-high-speed emitter-coupled logic (ECL)-CMOS SRAM. Introduction of a 0.25-/spl mu/m MOS transistor allows a Y decoder and a bit-line driver to be composed of CMOS circuits, resulting in a power reduction of 34%. Moreover, a variable-impedance load has been proposed to reduce cycle time. A 1-Mb ECL-CMOS SRAM was developed by using these circuit techniques and 0.2-/spl mu/m BiCMOS technology. The fabricated SRAM has an ultrafast access time of 550 ps and a high operating frequency of 900 MHz with a power dissipation of 43 W.


IEEE Journal of Solid-state Circuits | 2000

A 550-ps access 900-MHz 1-Mb ECL-CMOS SRAM

Hiroaki Nambu; Kazuo Kanetani; Kaname Yamasaki; Keiichi Higeta; Masami Usami; M. Nishiyama; K. Ohhata; F. Arakawa; Takeshi Kusunoki; Kunihiko Yamaguchi; A. Hotta; Noriyuki Homma

An ultrahigh-speed 1-Mb emitter-coupled logic (ECL)-CMOS SRAM with 550-ps clock-access time, 900-MHz operating frequency, and 12-/spl mu/m/sup 2/ memory cells has been developed using 0.2-/spl mu/m BiCMOS technology. Three key techniques for achieving the ultrahigh speed are a BiCMOS word decoder/driver with an nMOS level-shift circuit, a sense amplifier with a voltage-clamp circuit, and a BiCMOS write circuit with a variable-impedance bitline load. The proposed word decoder/driver and sense amplifier can reduce the delay times of the circuits to 54% and 53% of those of conventional circuits. The BiCMOS write circuit can reduce the power dissipation of the circuit by 74% without sacrificing writing speed. These techniques are especially useful for realizing ultrahigh-spaced high-density SRAMs, which will be used as cache and control memories in mainframe computers.


bipolar/bicmos circuits and technology meeting | 1995

A soft-error-immune 0.9-ns 1.15-Mb ECL-CMOS SRAM with 30-ps 120 k logic gates and on-chip test circuitry

Keiichi Higeta; Masami Usami; Masayuki Ohayashi; Yasuhiro Fujimura; Masahiko Nishiyama; Satoru Isomura; Kunihiko Yamaguchi; Youji Idei; Hiroaki Nambu; Kenichi Ohhata; Nadateru Hanta

A soft-error-immune 0.9-ns 1.15-Mb ECL-CMOS SRAM with 30-ps 120 k logic gates has been developed. To provide good testability, reliability, and stability, on-chip test circuitry, a memory-cell test technique, a highly stable current source, and a soft-error-immune memory cell are proposed.


IEEE Journal of Solid-state Circuits | 1994

A 1.5-ns cycle-time 18-kb pseudo-dual-port RAM with 9K logic gates

Masato Iwabuchi; Masami Usami; Masamori Kashiyama; Takashi Oomori; Shigeharu Murata; Toshiro Hiramoto; Takashi Hashimoto; Yasuhiro Nakajima

An 18-kb RAM with 9-kgate control logic gates operating during a cycle-time of 1.5 ns has been developed. A pseudo-dual-port RAM function is achieved by a two-bank structure and on-chip control logic. Each bank can operate individually with different address synchronizing the single clock. A sense-amplifier with a selector function reduces the reading propagation time. Bonded SOI wafers reduce the memory-cell capacitance, and this results in a fast write cycle without sacrificing /spl alpha/-particle immunity. The chip is fabricated in a double polysilicon self-aligned bipolar process using trench isolation. The minimum emitter size is 0.5/spl times/2 /spl mu/m/sup 2/ and the chip size is 11/spl times/11 mm/sup 2/. >


Archive | 1994

Semiconductor integrated circuit device including an improved separating groove arrangement

Toshiro Hiramoto; Nobuo Tamba; Masami Usami; Takahide Ikeda; Kazuo Tanaka; Atsuo Watanabe; Satoru Isomura; Toshiyuki Kikuchi; Toru Koizumi


Archive | 1988

Semiconductor device for a ram disposed on chip so as to minimize distances of signal paths between the logic circuits and memory circuit

Kazuhiro Akimoto; Masami Usami; Katsumi Ogiue; Hiroshi Murayama; Hitoshi Abe; Masamori Kashiyama; Yoshikuni Kobayashi; Satoru Isomura; Kinya Mitsumoto


Archive | 1988

Semiconductor memory device having a timing generator circuit which provides a write pulse signal which has an optional timing relationship with the chip select signal

Masami Usami; Kazuhiro Akimoto; Takeo Uchiyama; Masato Iwabuchi


Archive | 1989

VECTOR PROCESSOR PERFORMING DATA OPERATIONS IN ONE HALF OF A TOTAL TIME PERIOD OF WRITE OPERATION AND THE READ OPERATION

Masamori Kashiyama; Koichi Ishii; Shun Kawabe; Masami Usami

Collaboration


Dive into the Masami Usami's collaboration.

Researchain Logo
Decentralizing Knowledge