Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shang-Chun Chen is active.

Publication


Featured researches published by Shang-Chun Chen.


electronic components and technology conference | 2013

Fine-pitch backside via-last TSV process with optimization on temporary glue and bonding conditions

Erh-Hao Chen; Tzu-Chien Hsu; Cha-Hsin Lin; Pei-Jer Tzeng; Chung-Chih Wang; Shang-Chun Chen; Jui-Chin Chen; Chien-Chou Chen; Yu-Chen Hsin; Po-Chih Chang; Yiu-Hsiang Chang; Shin-Chiang Chen; Yu-Ming Lin; Sue-Chen Liao; Tzu-Kun Ku

Fine-pitch backside via last through silicon via (TSV) process flow is demonstrated as a qualified candidate to be used in the construction of 3D-IC structure. Glue and its bonding conditions are critical to the final yield of the process. Different glues and temporary bonding conditions are investigated to find out the optimized stable process flow. Different daisy chain lengths are used to evaluate the maturity of the backside via last TSV process.


electronic components and technology conference | 2011

Effects of etch rate on scallop of through-silicon vias (TSVs) in 200mm and 300mm wafers

Yu-Chen Hsin; Chien-Chou Chen; John H. Lau; Pei-Jer Tzeng; Shang-Hung Shen; Yi-Feng Hsu; Shang-Chun Chen; Chien-Ying Wn; Jui-Chin Chen; Tzu-Kun Ku; M. J. Kao

The effects of etch rate on TSV sidewall variation in 8” (200mm) and 12” (300mm) wafers are investigated in this study. Emphasis is placed on the determination of sidewall scallop with different etch rates ranging from 1.7μm/min to 18μm/min and various TSV diameters (1μm, 10μm, 20μm, 30μm, and 50μm) by design of experiments (DoE). The BHE in/out (2666Pa/2666Pa) are the same for all the cases. Also, characterizations of the sidewall scallop are performed by cross sections and scanning electron microscopy (SEM). Furthermore, with a same etch recipe, mask, and 9 (5μm, 10μm, 15μm, 20μm, 25μm, 30μm, 40μm, 55μm, and 65μm) TSV diameters, the etch results such as etch rate, TSV depth, and sidewall scallop of 200 and 300mm wafers are provided and compared, Finally, a set of useful process guidelines and recipes for optimal TSV etching is presented.


electronic components and technology conference | 2013

Process integration of 3D Si interposer with double-sided active chip attachments

Pei-Jer Tzeng; John H. Lau; Chau-Jie Zhan; Yu-Chen Hsin; Po-Chih Chang; Yiu-Hsiang Chang; Jui-Chin Chen; Shang-Chun Chen; Chien-Ying Wu; Ching-Kuan Lee; Hsiang-Hung Chang; Chun-Hsien Chien; Cha-Hsin Lin; Tzu-Kun Ku; Ming-Jer Kao; Ming Li; Julia Cline; Keisuke Saito; Mandy Ji

A double-sided Si passive interposer connecting active dies on both sides for a 3D IC integration is investigated. This interposer is 100μm-thick with 10μm-diameter TSVs (through silicon vias), 3 RDLs (redistribution layer) on its front-side, 2 RDLs on its backside. It supports 2 active dies on its frontside and 1 active die at its backside. The present study focuses on the process integration of the passive interposer, double-sided chip assembly process, and passive electrical characterization.


IEEE Transactions on Components, Packaging and Manufacturing Technology | 2012

Effects of Slurry in Cu Chemical Mechanical Polishing (CMP) of TSVs for 3-D IC Integration

Jui-Chin Chen; John H. Lau; Pei-Jer Tzeng; Shang-Chun Chen; Chien-Ying Wu; Chien Chou Chen; Yu Chen Hsin; Yi-Feng Hsu; Shang Hung Shen; Sue-Chen Liao; Chi-Hon Ho; Cha-Hsin Lin; Tzu-Kun Ku; Ming-Jer Kao

In this paper, the optimization of Cu chemical-mechanical polishing (CMP) performance (dishing) for the removal of thick Cu-plating overburden due to Cu plating for deep through silicon via (TSV) in a 300-mm wafer is investigated. Moreover, backside isolation oxide CMP for TSV Cu exposure is examined. To obtain a minimum Cu dishing on the TSV region, a proper selection of Cu slurries is proposed for the current two-step Cu-polishing process. First, a bulk of Cu is removed with the slurry of high Cu removal rate and second, the Cu surface is planarized with the slurry of high Cu passivation capability. The Cu dishing can be improved up to 97% for the 10-μm-diameter TSVs on a 300-mm wafer. The dishing/erosion of the metal/oxide can be reduced with respect to a correspondingly optimized Cu-plating overburden for TSVs and redistribution layers. Cu metal dishing can be drastically reduced once the Cu overburdens are increased to a critical thickness. For backside isolation oxide CMP for TSV Cu exposure, the results show that the Cu studs of TSVs with a larger TSV diameter still keep in a plateau-like shape after CMP.


electronic components and technology conference | 2012

Design, fabrication, and calibration of stress sensors embedded in a TSV interposer in a 300mm wafer

Pei-Jer Tzeng; John H. Lau; Ming-Ji Dai; Sheng-Tsai Wu; Heng-Chieh Chien; Yu-Lin Chao; Chien-Chou Chen; Shang-Chun Chen; Chien-Ying Wu; Ching-Kuan Lee; Chau-Jie Zhan; Jui-Chin Chen; Yi-Feng Hsu; Tzu-Kun Ku; Ming-Jer Kao

In this study, the design, fabrication, and calibration of the piezoresistive stress sensors [1-18] embedded in a TSV (through silicon via) interposer in a 300mm wafer are investigated. The results presented herein should be useful for the development of 3D integration such as measuring the strength of the TSV device and interposer wafers, during and after all the processes such as wafer thinning, SiO2 deposition, metallization, and electroplating.


ieee international d systems integration conference | 2013

Challenges of Cu CMP of TSVs and RDLs fabricated from the backside of a thin wafer

Jui-Chin Chen; John H. Lau; Tzu-Chien Hsu; Chien-Chou Chen; Pei-Jer Tzeng; Po-Chih Chang; Chun-Hsien Chien; Yiu-Hsiang Chang; Shang-Chun Chen; Yu-Chen Hsin; Sue-Chen Liao; Cha-Hsin Lin; Tzu-Kun Ku; Ming-Jer Kao

TSVs (through-silicon vias) can be fabricated by the via-first, via-middle, and via-last from the backside processes. For via-first and via-middle processes, TSVs are formed from the frontside of the wafer which is temporary bonded to a carrier. Then the backside is subjected to backgrinding and Cu revealing such as silicon dry etching, low-temperature isolation SiN/SiO2 deposition, and CMP (chemical-mechanical polishing) to remove the SiN/SiO2 and the Cu and seed/barrier layers of the Cu-filled TSV. On the other hand, for the via-last from the backside process, a carrier is temporary bonded on the frontside of the “finished” wafer (which includes all the metal layers, pads and passivation) and backgrind the backside of the wafer to ≤50 μm thick. TSVs and RDLs (redistribution layers) are formed from the backside of the wafer by the dual-damascene process. There are at least 3 challenges of Cu CMP of TSVs and RDLs fabricated from the backside of a thin wafer, namely (a) the residues in the dies due to the excess of TTV (total thickness variation) resulting from the degassing/deformation of glue during temporary bonding; (b) the residues on wafer edge due to the low down force during CMP to avoid the thin wafer chipping; and (c) Cu residues along the recess areas of the grinding traces during wafer thinning process. In this study, the processes are developed to overcome these three challenges. Specifically, the Cu residues in the dies are reduced substantially by choosing a thermosetting glue over a thermoplastic glue; the edge metal residues are eliminated by the process with a low down force to avoid the chipping of the thin wafer but increasing the time of Cu over-polishing in the high Cu rate slurry and avoiding Cu corrosion; and the Cu residues along the recess areas of grinding traces are resolved by Cu over-polishing using the high passivation Cu slurry to remove the Cu on the recess areas.


international symposium on vlsi technology, systems, and applications | 2012

Key enabling technologies of 300mm 3DIC process integration

Pei-Jer Tzeng; Yu-Chen Hsin; Jui-Chin Chen; Shang-Chun Chen; Chien-Ying Wu; W. L. Tsai; Chung-Chih Wang; Chi-Hon Ho; Chien-Chou Chen; Yi-Feng Hsu; Shang-Hung Shen; Sue-Chen Liao; Chun-Hsien Chien; Hsiang-Hung Chang; Cha-Hsin Lin; Tzu-Kun Ku; Ming-Jer Kao

Process issues and challenges of three-dimensional integrated circuit (3DIC) using through-silicon-via (TSV) are extensively investigated. Key enabling process technologies in the TSV formation and thin wafer handling are discussed with a viewpoint of TSV process integration. Test element groups (TEG) are designed to characterize the process performance and optimizations of some key process modules are also provided as process guidelines.


symposium on vlsi technology | 2014

Technologies and challenges of fine-pitch backside via-last 3DIC TSV process integration and its electrical characteristics and system applications

Erh-Hao Chen; Tzu-Chien Hsu; Cha-Hsin Lin; Pei-Jer Tzeng; Chung-Chih Wang; Shang-Chun Chen; Jui-Chin Chen; Chien-Chou Chen; Yu-Chen Hsin; Po-Chih Chang; Yiu-Hsiang Chang; Shin-Chiang Chen; Yu-Ming Lin; Sue-Chen Liao; Cheng-Ta Ko; Chau-Jie Zhan; Hsiang-Hung Chang; Chun-Hsien Chien; Yung-Fa Chou; Ding-Ming Kwai; Wei-Chung Lo; Tzu-Kun Ku; Ming-Jer Kao

Technologies of fine-pitch backside via last 3DIC through silicon via (TSV) process are developed to be applied to the mass production of 3D IC products. The detailed process development key points and challenges are disclosed. The electrical data are also analyzed to check the TSV process. Also, its application in real 3DIC system is demonstrated to show the benefits of system form factor and frame rate.


symposium on vlsi technology | 2016

Implementation of memory stacking on logic controller by using 3DIC 300mm backside TSV process integration

Shang-Chun Chen; Pei-Jer Tzeng; Yu-Chen Hsm; Chung-Chih Wang; Po-Chih Chang; Jui-Chm Chen; Yiu-Hsiang Chang; Tsuen-Sung Chen; Tzu-Chien Hsu; Hsiang-Hung Chang; Chau-Jie Zhan; Chia-Hsin Lee; Yung-Fa Chou; Ding-Ming Kwai; Tzu-Kun Ku; Pei-Hua Wang; Wei-Chung Lo

Technologies of backside via-last TSV (BTSV) 3DIC 300mm process integration are developed to be applied in industry cooperation and mass production business model view. In this work, a successful BTSV process integration is disclosed and applied on 65nm logic controller/45nm DRAM stacking structure. Key enabling process technologies in BTSV formation and thin wafer handling are discussed. The electrical measurement data and functional logic circuit test show the practicability of BTSV integration.


electronic components and technology conference | 2014

An innovative bumpless stacking with through silicon via for 3D Wafer-on-Wafer (WOW) integration

Sue-Chen Liao; Erh-Hao Chen; Chien-Chou Chen; Shang-Chun Chen; Jui-Chin Chen; Po-Chih Chang; Yiu-Hsiang Chang; Cha-Hsin Lin; Tzu-Kun Ku; M. J. Kao; Young Suk Kim; Nobuhide Maeda; S. Kodama; Hideki Kitada; Koji Fujimoto; Takayuki Ohba

An adequate sequential etching though dielectrics, silicon and permanent adhesive material was successfully developed for the damascene interconnects in the face-to-back bumpless TSV Wafer on Wafer (WOW) processes. The induced bowing taken place at the etching of permanent adhesive was optimized and no void Cu metallization was achieved. According to those TSV technology, the upper and lower stacked wafers was electrically connected without bump electrodes. The improved process such as chemical mechanical planarization (CMP) of Cu re-distribution layer (RDL) is also developed successfully to provide uniform and straight line resistance distribution and reduce the loading of TSV over-etching to avoid the interconnect open issue.

Collaboration


Dive into the Shang-Chun Chen's collaboration.

Top Co-Authors

Avatar

Jui-Chin Chen

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Pei-Jer Tzeng

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Tzu-Kun Ku

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Cha-Hsin Lin

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Yu-Chen Hsin

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Chien-Chou Chen

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Ming-Jer Kao

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Chien-Ying Wu

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Po-Chih Chang

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar

Yiu-Hsiang Chang

Industrial Technology Research Institute

View shared research outputs
Researchain Logo
Decentralizing Knowledge