Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Suk-ho Joo is active.

Publication


Featured researches published by Suk-ho Joo.


symposium on vlsi technology | 2003

Full integration and reliability evaluation of phase-change RAM based on 0.24 /spl mu/m-CMOS technologies

Y.N. Hwang; J.S. Hong; S.H. Lee; Seung-Eon Ahn; G.T. Jeong; Gwan-Hyeob Koh; Jae-joon Oh; H.J. Kim; Won-Cheol Jeong; S.Y. Lee; J.H. Park; K.C. Ryoo; Hideki Horii; Y.H. Ha; J.H. Yi; Woo Yeong Cho; Y.T. Kim; K.H. Lee; Suk-ho Joo; S.O. Park; U-In Chung; H.S. Jeong; Kinam Kim

We have fully integrated a nonvolatile random access memory by successfully incorporating a reversibly phase-changeable chalcogenide memory element with MOS transistor. As well as basic characteristics of the memory operation, we have also observed reliable performances of the device on hot temperature operation, endurance against repetitive phase transition, writing imprint, reading disturbance and data retention.


symposium on vlsi technology | 2003

An edge contact type cell for Phase Change RAM featuring very low power consumption

Y.H. Ha; J.H. Yi; Hideki Horii; J.H. Park; Suk-ho Joo; S.O. Park; U-In Chung; Joo Tae Moon

In this paper, the Phase Change Random Access Memory (PRAM, also known as Ovonic Unified Memory-OUM) cell, which has an extremely small and reproducible contact area and improved thermal environment, was fabricated and electrically characterized. The memory cell successfully operates with 30 ns pulses of 0.20 mA for RESET (high resistive) state and 0.13 mA for SET (low resistive) state. This is the best record of the published data.


symposium on vlsi technology | 2005

Highly reliable 50nm contact cell technology for 256Mb PRAM

Soon-Hong Ahn; Y.N. Hwang; Y.J. Song; S.H. Lee; S.Y. Lee; J.H. Park; Changbum Jeong; K.C. Ryoo; J.M. Shin; Y. Fai; Jae-joon Oh; Gwan-Hyeob Koh; G.T. Jeong; Suk-ho Joo; Sung-Soo Choi; Yong-Hoon Son; Jungyeop Shin; Y.T. Kim; H.S. Jeong; Kinam Kim

Novel small contact fabrication technologies were proposed to realize reliable high density 256Mb PRAM(phase change memory) product. Introducing the 2-step CMP (chemical mechanical polishing) process and the ring-shaped contact structure, the contact area distribution was greatly improved even at the smallest contact diameter of 50nm node. The validity of this approach was directly confirmed by the evaluation of the functionality for the fabricated 256Mbit PRAM based on 0.10/spl mu/m CMOS technology.


international symposium on vlsi technology systems and applications | 2003

Phase-change chalcogenide nonvolatile RAM completely based on CMOS technology

Y.N. Hwang; J.S. Hong; S.H. Lee; Seung-Eon Ahn; G.T. Jeong; Gwan-Hyeob Koh; H.J. Kim; Won-Cheol Jeong; S.Y. Lee; J.H. Park; K.C. Ryoo; Hideki Horii; Y.H. Ha; J.H. Yi; Woo Yeong Cho; Y.T. Kim; K.H. Lee; Suk-ho Joo; S.O. Park; Unyong Jeong; H.S. Jeong; Kinam Kim

We have integrated a phase-change chalcogenide random access memory, completely based on 0.24 /spl mu/m-CMOS technologies. A twin cell and BL clamping circuits are introduced to enlarge fabrication tolerance and to reduce cell perturbation during reading operation. To draw back current as much as possible, Co salicidation is also applied to transistor formation. By constructing a simple cell structure with Ge/sub 2/Sb/sub 2/Te/sub 5/, we have observed reliable phase-transitions by driving current through MOS transistors. With 100 ns-writing pulses of 2 mA for RESET and 0.6 mA for SET, the device operates successfully with a considerable sensing signal at reading voltage of as low as 0.2 V.


Japanese Journal of Applied Physics | 2001

Enhanced Retention Characteristics of Pb(Zr, Ti)O3 Capacitors by Ozone Treatment

Kyu-Mann Lee; Hyeong-Geun An; June Key Lee; Yong-Tak Lee; Sang-Woo Lee; Suk-ho Joo; Sang-don Nam; Kun-Sang Park; Moon-Sook Lee; Soonoh Park; Ho-Kyu Kang; Joo-Tae Moon

Effects of ozone treatment and charged defects on retention characteristics of Ir/IrO2/Pb(Zr, Ti)O3 (PZT)/Pt/IrO2/Ir capacitors were systematically investigated. For these purposes, PZT thin films were exposed to ozone environment to promote enhanced surface oxidation. After baking the Ir/IrO2/PZT/Pt/IrO2/Ir capacitors at 125°C for 500 h, degradation of Qnv (non-volatile charge) value of the ozone-treated capacitors was approximately 17.6%, that is less than one fifth of that of the untreated capacitors. X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) studies showed that the amount of oxygen-vacancies near the PZT surface was dramatically decreased by the ozone treatment. The Schottky barrier height of the ozone-treated capacitors increased when compared to that of the untreated capacitors (the Schottky barrier height of the untreated and the ozone-treated capacitor was 0.29 eV and 0.43 eV, respectively). Therefore, one can conclude that the retention characteristics seem to be closely associated with oxygen related defects near the ferroelectric/electrode interface and the control of the interface properties of PZT thin film is a key technology to pursue reliable function characteristics of ferroelectric random access memory (FRAM) devices.


Japanese Journal of Applied Physics | 2006

Highly reliable 0.15 μm/14 F2 cell ferroelectric random access memory capacitor using SrRuO3 buffer layer

Jang-Eun Heo; Byoung-Jae Bae; Dong-Chul Yoo; Sang-don Nam; Ji-Eun Lim; Dong-Hyun Im; Suk-ho Joo; Yong-Ju Jung; Suk-Hun Choi; Soonoh Park; Hee-seok Kim; U-In Chung; Joo-Tae Moon

We investigated a novel technique of modifying the interface between a Pb(ZrxTi1-x)O3 (PZT) thin film and electrodes for high density 64 Mbit ferroelectric random access memory (FRAM) device. Using a SrRuO3 buffer layer, we successfully developed highly reliable 0.15 µm/14 F2 cell FRAM capacitors with 75-nm-thick polycrystalline PZT thin films. The SrRuO3 buffer layer greatly enhanced ferroelectric characteristics due to the decrease in interfacial defect density. In PZT capacitors with a total thickness of 180 nm for whole capacitor stack, a remnant polarization of approximately 42 µC/cm2 was measured with a 1.4 V operation. In addition, an opposite state remnant polarization loss of less than 15% was observed after baking at 150 °C for 100 h. In particular, we found that the SrRuO3 buffer layer also played a key role in inhibiting the diffusion of Pb and O from the PZT thin films.


Japanese Journal of Applied Physics | 2009

Synchronous Pulse Plasma Operation upon Source and Bias Radio Frequencys for Inductively Coupled Plasma for Highly Reliable Gate Etching Technology

Ken Tokashiki; Hong Cho; Samer Banna; Jeong-Yun Lee; Kyoung-sub Shin; Valentin N. Todorow; Woo-Seok Kim; KeunHee Bai; Suk-ho Joo; Jeong-Dong Choe; Kartik Ramaswamy; Ankur Agarwal; Shahid Rauf; Kenneth S. Collins; SangJun Choi; Han Cho; Hyun Joong Kim; Changhun Lee; Dimitris Lymberopoulos; Jun-ho Yoon; Woo-Sung Han; Joo-Tae Moon

Synchronous pulse operation upon both source and bias RFs for inductively coupled plasma (ICP) etching system, having both dynamic matching networks and RF frequency-sweeping to ensure the lowest RF reflected power, is introduced for the first time. A superior performance of synchronous pulse operation to conventional continuous wave (cw) as well as source pulse operations is confirmed through plasma diagnostics by using Langmuir probe, plasma simulation by using hybrid plasma equipment model (HPEM) and etching performance. Significant reduction of RF power reflection during pulse operation as well as improvement of 35 nm gate critical dimension (CD) uniformity for sub-50 nm dynamic random access memory (DRAM) are achieved by adapting synchronous pulse plasma etching technology. It is definitely expected that synchronous pulse plasma system would have a great ability from a perspective of robustness on fabrication site, excellent gate CD controllability and minimization of plasma induced damage (PID) related device performance degradation.


symposium on vlsi technology | 2002

Novel integration technologies for highly manufacturable 32 Mb FRAM

H. H. Kim; Y.J. Song; S.Y. Lee; H. J. Joo; N. W. Jang; Dong-Jin Jung; Youn-sik Park; S.O. Park; K.M. Lee; Suk-ho Joo; Shin-Ae Lee; Sang-don Nam; K. Kim

Ferroelectric random access memory (FRAM) has been considered as a future memory device due to its ideal properties such as non-volatility, high endurance, fast write/read time and low power consumption. Recently, a 4 Mb FRAM was developed using 1T1C capacitor-on-bit-line (COB) cell structure and triple metallization (S.Y. Lee et al, VLSI Symp. Tech. Dig., p. 141, 1999). However, the current 4 Mb FRAM device cannot satisfactorily be used as a major memory device for stand-alone application due to its low density, cost ineffectiveness, and large cell size factor. Therefore, it is strongly desired to develop high density FRAM devices beyond 32 Mb for application to stand-alone memory devices. In this paper, we report for the first time development of a highly manufacturable 32 Mb FRAM, achieved by 300 nm capacitor stack technology in a COB cell structure, a double encapsulated barrier layer (EBL) scheme, an optimal inter-layer dielectric (ILD) and intermetallic dielectric (IMD) technology, and a novel common cell-via scheme.


Japanese Journal of Applied Physics | 2002

Integration of ferroelectric random access memory devices with Ir/IrO2/Pb(ZrxTi1-x)O3/Ir capacitors formed by metalorganic chemical vapor deposition-grown Pb(ZrxTi1-x)O3

Moon-Sook Lee; Kun-Sang Park; Sang-don Nam; Kyu-Mann Lee; Jung-Suk Seo; Suk-ho Joo; Sang-Woo Lee; Yong-Tak Lee; Hyeong-Geun An; Hyoung-joon Kim; Sung-Lae Cho; Yoon-ho Son; Young-Dae Kim; Yong-Joo Jung; Jang-Eun Heo; Soonoh Park; U-In Chung; Joo-Tae Moon

Metal organic chemical vapor deposition (MOCVD) of Pb(ZrxTi1-x)O3 (PZT) and its capacitor module process were established for ferroelectric memory device integration. The 130 nm-thick PZT films were deposited on Ir layers at 530°C or 550°C. The remnant polarization of the Ir/IrO2/PZT/Ir capacitors is in the range of 15 to 21 µC/cm2, and their leakage current is 10-5 A/cm2 at 2.5 V without additional annealing. The degradation in their switching endurance is less than 5% after 1010 cycles, indicating that the interfaces formed between the PZT and Ir layers can be optimized to improve their fatigue properties. To evaluate the capacitors on the devices, the conventional backend process was performed after encapsulating the capacitors with AlOx/TiOx layers located on the poly-Si plug. High charge separation and fully functional bit activities were obtained, demonstrating that this MOCVD-PZT process is a reliable integration scheme for high-density ferroelectric memory devices.


symposium on vlsi technology | 2000

A novel 1T1C capacitor structure for high density FRAM

N. W. Jang; Y.J. Song; H. H. Kim; Dong-Jin Jung; Bonwon Koo; S.Y. Lee; Suk-ho Joo; K.M. Lee; K. Kim

In this paper, an etching damage-free 4 Mb ferroelectric random access memory (FRAM) integration technology was for the first time developed using ferroelectric (FE) hole capacitor structure. Since the PZT capacitors are not etched, no etching damage was generated in the novel capacitor structure. The etching process issue, which is one of most critical obstacles for scaling down FRAM device, is completely resolved by using this novel FE hole structure. Therefore, the novel integration technology strongly promises to provide a reliable scaling down of FRAM device beyond 0.25 /spl mu/m technology generation.

Collaboration


Dive into the Suk-ho Joo's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kyu-Mann Lee

Korea University of Technology and Education

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge